Keywords

1 Introduction

The capability of electronic systems to get energy out of the environment around them, to be self-powered, is a subject that has drawn increasing interest of the researchers for some time [1], regarding the context of wireless sensor networks (WSN) [2], and embedded systems [3]. This capability, known as energy harvesting, allows for electronic applications to operate without having to hardwire a connection to the power grid, and needing no regular replacement of batteries [1, 4, 5]. This is particularly important for sensor networks deployed to inhospitable places, where an amount of energy, of any sort, obtainable from the environment, will suffice.

Besides a strong feature about ubiquity, energy harvesting is also interesting either ecologically and economically, by circumventing the use of batteries as the power of the main system. Firstly, the sensor node will not take part in the chain of chemical pollution caused by battery manufacturing or decommissioning. Secondly, by not using batteries, there is a decrease in costs, both in devices and replacement manpower.

In an indoor environment, it is preferable to have self-supplied sensors so as to avoid cord connections and the consequent costs with material, like cable duct. In addition, there is total flexibility to place the nodes where they are exactly desired.

Although energy can be taken in from light existing inside buildings, this specific source poses an upgraded challenge, since the level of indoor light energy is quite below the one obtainable in the outside. Moreover, the available indoor light can change significantly, as natural light from outside suffers an attenuation and is subjected to a mix with light coming from ceiling luminaries.

The current thesis presents an energy scavenging system fed by indoor light, whose function is to supply a sensor system, thus permitting a networking of the same kind as the one presented in [6]. In spite of the design being mainly to deal with typical light levels in indoors, the proposed system still proved to work using more intense levels, thus making it a highly flexible light energy harvesting system. Through minimizing size and cost, this system was fully integrated into a silicon die, except for the large value output storing capacitor and the scavenging PV cells.

To maximize the harvested energy coming from a PV cell, a DC-DC voltage converter is needed, such that it can follow the maximum power point (MPP) of the PV cells. Also aiming to decrease volume and cost, the proposed DC-DC voltage converter uses switched-capacitors (SC), putting aside inductors [7].

The system has to be as efficient as possible, because of the typically reduced light level existing indoors. Therefore, the MPPT algorithm was implemented by using analog circuitry so as to save power, discarding implementations that use a microcontroller, or similar. The MPPT method used is the Fractional Open Circuit Voltage (FV OC ) technique, implemented through the use of an ASM that automatically adapts to the environmental conditions, creating and adjusting the clock frequency of the signals that control the switches of the voltage converter circuit, making it to match its input impedance to the MPP of the PV module.

2 Contribution to Cyber-Physical Systems

The main purpose of the work contained in the research thesis under overview is concerned about building an energy harvesting system, or the energy harvesting section of a WSN node, such that the node can be supplied with light energy in an indoor environment. Such a node can be an embedded system that, more broadly, is a part of a cyber-physical system (CPS) with some sort of sensing utility.

For example, there are various areas and applications in which a CPS has proved to be effective. Among those areas, one can find health condition monitoring [8], forest surveillance and monitoring [9], environment and energy monitoring inside buildings [6], WSNs in automotive applications [10], structural health monitoring [11], or wireless networks for localizing and studying animals [12], just to mention a few.

In many contexts, the individual nodes, when deployed to a given scenario, are programmed with the required intelligence to establish a network with neighboring nodes, ultimately allowing for the establishment of a whole network among all the nodes, provided that the required layer levels of the protocol stack are implemented in the software. Although dealing with smaller and simpler computational structures than computers, the full set of requirements, typical for an operating system [13] and a computer network [14], can be implemented at a more basic level.

The system that has been designed and developed in the research thesis under overview is only focused about energy harvesting alone. Therefore, a natural improvement to the system that was implemented in this work is the addition of sensor and transceiver circuitry, so that the latter can give it full networking capability, allowing for communication links. Depending on the role that a node can be given inside its network, even bridging up between networks is also possible.

The aim of this thesis is not to develop a wireless node for a specific application, but to demonstrate that it is feasible to build the harvesting section, such that it can deal with the available light of indoors, proving that this concept can be put into practice. The proposed system can then be casted to operate with different kinds of sensors, such as: light, pressure, temperature, acceleration, etc. For example, the data to be transmitted could be the light intensity that the PV cell is experiencing. This information is embedded in the frequency of the phases controlling the switches in the DC-DC converter, i.e. the actual energy harvesting PV cell can be the sensor itself. Such a system could be used to monitor the amount of light inside an “intelligent house”. By using a WSN based upon systems like these, the energy for illumination purposes could be more efficiently used, by lowering the costs both in money and in natural resources. The address of this kind of problem is mobilizing many researchers and [15] shows an example concerning this matter.

3 Literature Review

The literature review allowed obtaining a global overview about the main issues involved in the energy harvesting theme, namely regarding energy harvestable sources, PV cells, MPPT techniques, DC-DC voltage converters, and components able to store high amounts of energy.

3.1 Harvestable Sources of Energy

Around us, there are various energy sources that can be scavenged, so as to supply electronic circuits [4]. Energy can be obtained from several sources, like: light (natural [4] or artificial [16]), electromagnetic radiation [17], mechanical motion (vibrations, for example) [18], thermal gradients [19], etc. Each one of these sources has a reduced energy density, leading the electronic modules inside the node to work by making use of a highly reduced amount of energy and to have efficiencies as high as possible. Of all these, light has the highest density for low-power systems [4].

Mechanical Energy.

Mechanical energy is obtained by wind, wave, vehicle motion, or any vibrations or movement. A significant aspect specific to scavenging this source of energy, is the need for rectifying circuits. There are various ways to convert mechanical motion into electricity. Converting movement to electricity is achieved by employing electromagnetics [4], piezoelectrics [18] or electrostatics [20]. Harvesters that exploit vibrations, have presently efficiencies that go from 25 % to 50 % [21].

Thermal Gradients.

Harvesting thermal energy can be an option in situations where high temperature gradients exist. These gradients can be used as a source of heat, supplying a reasonable level of energy [1, 4, 19]. Thermoelectric generators (TEG) are silent and dependable, having no parts in motion. Currently, these transducers are able to convert about of 5 % to 6 % of the thermal source to electric energy. However, research has been undertaken, to mature new materials and modules in order to try to exceed the harvesting efficiency over 10 % [22].

Radio Frequency Electromagnetic Energy.

In urban areas, radio frequency (RF) energy is everywhere, available around the clock, in day time and night time, being generated by sources such as mobile phone cell networks, Wi-Fi networks, radio and television broadcasting, and other sources of the same kind. Since the scavenged source is AC, rectifiers must be used in order to get stable DC supply [19, 23, 24]. Harvesters acting over the RF sources, presently have efficiencies reaching 50 % [21].

Human Generation.

Using applications powered by human means is an idea that has interested researchers from some time [25]. Converting human motion into electricity is a subject of extensive interest [26]. In biomedical applications, implants are supplied by using the heat from the patient’s metabolism, as the scavenged source [8].

Microbial Fuel Cells.

Concepts behind the microbial fuel cell (MFC) come from the energy generated by the electrochemical reactions produced by bacteria when they are in activity [27]. A very hostile environment to humans, and where bacteria are plentiful, is wastewater. A WSN that does not require any human intervention after deployment is thus preferable. As such, monitoring and controlling wastewater treatment plants (WWTP) is addressed in [28], where a system is suggested. This system seeks for efficiency in the use of electric energy inside this kind of facilities.

Light Energy.

Light is a visible electromagnetic wave. In indoors, the available light is much lower than outside, where the usual standard is AM1.5 (1 kW/m2). The literature reports indoor irradiances that go from 1/10 of the maximum intensity of the Sun [29], to 0.833 W/m2 (100 lux, converted to W/m2 using [30]) in a mildly illuminated room [31], or 10 W/m2, having the PV panel placed close to overhead lamps [16].

The main element for light energy scavenging is the PV cell. When illuminated, it behaves like the equivalent electric circuit in Fig. 1 (a), evidencing three main parameters, shown in Fig. 1 (b): maximum power point (MPP), open circuit voltage (V OC ) and short circuit current (I SC ). The model is established from the parameters of the corresponding circuit (I 1, R P and R S ), but the model for the diode (D) is also important, given that it varies according to the amount of light.

Fig. 1.
figure 1figure 1

PV cell: (a) Equivalent electric circuit; (b) Example of typical current and power curves.

PV technologies showing lower efficiencies and having lower manufacturing costs can be used, but resulting in a larger area for the PV cell, for the same magnitude of useful available power. The a-Si PV technology is an example of this, in which costs are lower, but a larger PV cell must be used to achieve the same output power. In [32], a cell of crystalline silicon (c-Si) is compared to another of a-Si. Here, when using various light sources to generate an equivalent amount of light, it was shown that in indoors, in opposition to c-Si PV cells, a-Si PV cells do not undergo a strong decrease in their output power, if the nature of light changes from sunlight to fluorescent lights. Thus, evidences indicate that a-Si PV cells are more suited for indoors.

3.2 PV Cell Technologies

PV cell technologies can be divided into three “generations”. The first one uses c-Si structures, including the monocrystalline and polycrystalline ones. This technology has been evolving over time, improving both efficiency and capabilities. Although this is the first generation, it is not obsolete at all. The second one uses single junction components, while trying to optimize the use of the materials, aiming to maintain the efficiencies attained by the first generation. This generation encompasses, among other materials, a-Si. Lastly, the third generation uses multiple junctions and is showing good results and efficiently working cells at lower costs [33]. Organic cells and carbon nano tubes are examples of PV cells belonging to this generation [34].

3.3 DC-DC Voltage Converters

Energy harvested from environmental sources is not suited to directly power electronic circuits; it must be conveniently conditioned to be used. When working with a system based on photovoltaics, the converter circuit sets the output voltage of the cell, so as to attain optimal power operating conditions, using a MPPT algorithm.

Linear converters are not adequate because of their low efficiencies, thus switched converters must be used either based on inductors or on capacitors. Suitable inductors are not easily available in CMOS technology. As such, this system was based on SC.

SC DC-DC Voltage Converters.

One can have a fully integrated SC voltage DC-DC converter, requiring no external components. In the converter, two types of capacitors exist: the output capacitor and the flying capacitors. According to the state of the switches, the flying capacitors connect between different nodes inside the circuit, and transfer charge. There are various SC voltage step-up topologies: the ladder [35], the Cockcroft–Walton [36], the Dickson charge pump [37], the Fibonacci [38], the Parallel-Series [39] and the Doubler [39] topologies. For the proposed system, the chosen topology was the Parallel-Series, aiming to double the input voltage. This is a very simple topology and that was the main reason for this choice.

3.4 Energy Storing Devices

The amount of energy that has been taken in, can be stored in a supercapacitor [40, 41] or in a rechargeable battery [7], allowing the node to be turned on during intervals when there is no energy available from outside. Each device requires special attention, because each of them involves very specific charging strategies [42].

Rechargeable Batteries.

This device is a cell that stores energy, able to charge by inverting inside chemical reactions and is used when a large density of energy is needed. The lifetime of these devices is greatly affected by the number of charges and discharges, and trying to minimize the number of these cycles is an important issue. This topic relates to the quantity of time that such device can be operating, so that the accumulated charge can last greatly as possible. There are several types of batteries, such as the NiCd, NiMH, Li-ion and Li-polymer [43].

Supercapacitors.

Supercapacitors have features making them different from regular capacitors. The electric model of a supercap isn’t a simple high value capacitor, but an arrangement of branches having time constants of their own [44]. Supercapacitors can stand more charge and discharge cycles than batteries do. Appealing factors about supercapacitors are that they don’t demand specific charging topologies, standing trickle charging and are inexpensive, when compared to batteries.

3.5 MPPT Methods

In order to maximize the energy harvested by the PV cells, a MPPT method should be used, maximizing the chance of obtaining as much energy as possible out of the PV cell which would be wasted, otherwise. This strategy enables augmenting the scavenged energy in about 65 % to 90 % [3]. Various methods can be found in [45], where two main types of tracking are identified: true MPPT and quasi-MPPT. The former are able to follow the real MPP of the cell, while the latter, also tracking the same point, are not concerned about the maximum itself. Being in the vicinity of it is enough. This leads to simpler implementations of the tracking circuits. Some examples of true MPPT methods are the “Hill Climbing” [7], the “Ripple correlation control (RCC)” [46], or the control based upon Neural Networks and Fuzzy Logic. As for the quasi-MPPT, one can find the “Fractional V OC ” [40], the “Fractional I SC ”, the “DC link capacitor droop control”, or the “One-cycle control (OCC) MPPT”.

In the present work, the Fractional V OC was chosen because of its simplicity and low energy budget, although involving the overhead of using pilot PV cells.

4 Proposed Energy Harvesting System

The proposed harvesting system presented in this research thesis is summarized in the block diagram depicted in Fig. 2.

Fig. 2.
figure 2figure 2

Architecture of the proposed indoor light energy scavenging system.

The modules that make up the system, integrated into a 130 nm CMOS technology circuit, are: Phase Controller, SC Voltage Doubler, Start Up, and Local Supply.

4.1 SC Voltage Doubler

To reduce cost and volume, a SC voltage doubler was used. The circuit is a Parallel-Series SC step-up voltage converter (as by Sect. 3.3).

The concept of the step-up voltage converter circuit is depicted in Fig. 3. In addition, an equivalent switched parasitic capacitor (C p ), is included. This represents the load effect, created when the phase generator module is working, generating the phases ϕ 1 and ϕ 2. The PV cell has been linearized. So, the circuit shown in Fig. 1 (a) is now replaced by its Thévenin equivalent (v S and R S ). C in stands for the capacitance of the PV cell. The configurations that this circuit takes when in operation are shown next (Fig. 4):

Fig. 3.
figure 3figure 3

Conceptual schematic of the Parallel-Series (doubler) voltage step-up converter.

Fig. 4.
figure 4figure 4

SC step-up voltage converter: (a) Circuit during phase ϕ 1; (b) Circuit during phase ϕ 2.

In (1) and (2), the expressions of the steady state input and output voltages are presented, depending upon the elements that make up the circuit.

$$ { V_{IN} = \frac{{T_{CLK} \;\left( {\;4\;\left( {\;C_{o} \;C_{p} \; + \;C_{1} \;\left( {\;C_{o} \; + \;C_{p} \;} \right)} \right)\;\,R_{L} \; + \;\left( {\;C_{1} \; + \;4\;\,C_{o} \; + \;C_{p} \;} \right)\,\;T_{CLK} \;} \right)\;\,v_{S} }}{{16\,\;C_{1} \;C_{o} \;C_{p} \;R_{L} \;R_{S} + \;4\;\left( {\;C_{o} \;C_{p} \;R_{L} + \;C_{1} \;\left( {\;C_{o} + \;C_{p} \;} \right)\;R_{L} \; + \;C_{1} \;\left( {4\;C_{o} + \;C_{p} } \right)\;R_{S} \;} \right)\;T_{CLK} + \;\left( {\;C_{1} + \;4\;C_{o} + \;C_{p} \;} \right)\;T_{CLK}^{2} }}} $$
(1)
$$ { V_{OUT} = \frac{{2\;C_{1} \;\left( {4\;C_{o} \;R_{L} - T_{CLK} } \right)\;T_{CLK} \;v_{S} }}{{16\,\;C_{1} \;C_{o} \;C_{p} \;R_{L} \;R_{S} + \;4\;\left( {\;C_{o} \;C_{p} \;R_{L} + \;C_{1} \;\left( {\;C_{o} + \;C_{p} \;} \right)\;R_{L} \; + \;C_{1} \;\left( {4\;C_{o} + \;C_{p} } \right)\;R_{S} \;} \right)\;T_{CLK} + \;\left( {\;C_{1} + \;4\;C_{o} + \;C_{p} \;} \right)\;T_{CLK}^{2} }}} $$
(2)

Thus, V IN  and V OUT  are adjusted using the value of the clock frequency. Controlling this parameter, makes it possible to reach the MPP, occurring when the input impedance of the SC circuit is equal to the value of R S , giving V IN  = v S /2.

SC Voltage Doubler with Charge Reusing.

To minimize the area needed by the switched capacitors, MOS transistor capacitors were used because they have the largest capacitance per unit of area, in the technology that was used. Unfortunately, this increases the value of the bottom plate parasitic capacitance [47], degrading efficiency. To overcome this problem and minimize the amount of charge lost through this capacitance, the approach that was adopted was to split the switched capacitor in two, and duplicating the SC circuit, as depicted in Fig. 5.

Fig. 5.
figure 5figure 5

SC step-up voltage doubler, using MOS capacitors with charge reusing.

When ϕ 1 is active, M 1 and C p2 connect in parallel with the input source (v in ) and M 2 is connected in series with this source, accomplishing an ideal voltage folding by two at v out . During ϕ 1, C p1 is kept discharged, because both of its plates are connected to ground. When ϕ 3 is active, M 2 and C p1 swap role with M 1 and C p2, leading to the same actions when ϕ 1 was active. Finally, when ϕ 2 is active, C p1 and C p2 are placed in parallel, achieving a charge redistribution between them. Since one of these two capacitances is charged to v in , whilst the other is fully discharged, when they are tied together in parallel, the charge is uniformly divided between the two, and each capacitance gets half of the charge of the other capacitance that was firstly charged to v in . The ideal voltage across this parallel arrangement is v in /2. Thus, in ϕ 1 or ϕ 3, the parasitic capacitance that follows in the connection to v in , will be then pre-charged to one half of its final voltage, thus requiring just half of the charge from the input voltage node. This procedure prevents wasting half of the input charge, which is inevitably committed to these capacitances, improving the efficiency of the converter.

4.2 Phase Controller

The Phase Controller creates the clock phase signals (ϕ 1, ϕ 2 and ϕ 3) required for the voltage doubler. The PV cell power changes according to light and temperature, so the phase controller generates these clock signals using the FV OC MPPT method in order to automatically adjust the clock frequency to reach the MPP. This method uses the intrinsic characteristic of PV cells, in which there is a proportionality factor (k) between the open circuit voltage (V OC ) and the voltage at which the MPP occurs. Pilot PV cells, which are always in open circuit, or unloaded, are used to determine V OC . The optimal voltage obtained from the pilot PV cell (v MPP ) is determined by computing the product of V OC by k, using a voltage divider with resistors.

ASM Circuit.

The clock signals are produced by an ASM, automatically and dynamically adjusting the frequency of the clock signals, thus obtaining the MPP condition out of the cell. The circuit that implements the MPPT algorithm, generates the clock phases, and establishes how to go from one state to the next, is depicted in Fig. 6.

Fig. 6.
figure 6figure 6

Phase generator circuit using the Fractional V OC MPPT.

The circuit has four states, which are determined by the output of four S-R latches. The states relate to the generation of the phase signals ϕ 1, ϕ 2, ϕ 3, and again ϕ 2, respectively. To go from a state to its successor, the Set signal of a given latch is turned on, switching its output from 0 to 1. In turn, this activates the Reset signal of the latch preceding it, causing its output to go from 1 to 0, effecting the state change.

4.3 Local Supply

The proposed system must create the power supply for the phase generator. The main output voltage cannot be used with this purpose, because at start-up, this voltage is 0 V and it will remain at about 0 V for a long time, until a significant charge has been delivered to the buffer capacitor. The answer is to have its own supply voltage, being not dependent from the output voltage. The Local Supply is a replica of the main SC module, with the capacitors and the switches scaled-down to a portion of the size of those used in the bigger SC circuit. The optimal ratio for both modules is 0.2, verified by a set of simulations. The output of this module is named v dd .

4.4 Start-up

The start-up module guarantees that under very low ambient illumination, the system can start operating with success. Previously to the startup, the output of the Local Supply is 0 V. Next, the start-up module shunts the input voltage node to the output node of the Local Supply module. As soon as this voltage gets sufficiently high for the phase controller to start operating, the shunt is eliminated and the circuit begins its regular operation. This circuit also generates the reset voltage signal that will be used by the phase generator, in order to guarantee that it starts to operate in the correct state. More details about how this module works can be found in [48].

4.5 Manufactured Prototype

The total die area occupied by the various modules that have been presented is summarized in Table 1.

Using MiM capacitors, instead of MOS capacitors, would have an impact of about eight times [49], in the needed area, i.e. 2.03 mm2, being a very significant issue.

The entire chip layout and a photograph of the prototype are depicted in Fig. 7. The integrated circuit was glued to a PCB and its pads were connected to the latter by using bond wires, as it is made evident from the photograph.

Table 1. Partial and total layout areas.
Fig. 7.
figure 7figure 7

Layout of the integrated circuit and its photograph.

5 Experimental Results

The prototype of the proposed system was experimentally assessed to verify if it met the desired behavior and to assess the design parameters, by using the setup in Fig. 8.

Fig. 8.
figure 8figure 8

Experimental test setup for the indoor light energy harvesting system.

It was confirmed that the whole proposed system could successfully start-up thanks to the start-up module. Subsequently to starting up, the system enters its steady state and it is feasible to evaluate the output and input voltages and currents, for different load values, allowing for the computation of the power efficiency of the DC-DC converter. The highest efficiency that could be measured for the prototype was 70.3 %.

The MPPT controller also showed the expected behavior, tracking the MPP and generating the required phase signals to double the input voltage, as seen in Fig. 9.

Fig. 9.
figure 9figure 9

(a) Behavior of the MPPT circuit; (b) Detail depicting the three phase signals.

By replacing the input voltage source with a-Si PV cells (main and pilot - 14 cm2 and 2 cm2, respectively), Fig. 10 (a) shows that this system is able to operate correctly with a Local Supply output of about 700 mV, just dissipating 1.43 μW, in a very reduced irradiance situation, which still permitted the system to correctly start-up (0.32 W/m2). In Fig. 10 (a), the spike that appears at the left of the image is the reset signal, generated by the Start Up circuit.

Fig. 10.
figure 10figure 10

Voltages amid start up, for irradiance of (a) 0.32 W/m2 and (b) 4.97 W/m2.

Table 2. Contrast with other state of the art publications.

The phase generator module is even prepared to withstand lower irradiance levels, though the biggest part of the energy taken in is conveyed to power the phase generator. This means that almost no energy is delivered to the storage capacitor. The lowest irradiance that was registered, under which the system had started up and was operating correctly, was 0.18 W/m2. The ASM circuit showed to operate correctly for a Local Supply output of only 453 mV, and dissipating 0.085 μW. This set of values were, to the best possible of the authors’ knowledge and by the time the thesis was written, lower than those reported in the literature. The maximum efficiency of the converter is 70.3 % for an input power of 48 μW.

6 Conclusions

The research thesis whose overview is presented in this paper showed the analysis, design and experimental assessment of an integrated CMOS energy harvesting system. This system uses a SC step-up voltage converter, developed to optimally work with a-Si PV cells (with an area of 14 cm2), in indoor light situations.

The circuit was built in a 130 nm CMOS technology, occupying an area of 0.31 mm2. The voltage converter uses MOSFET capacitors with a charge reusing strategy, so as to decrease the effect of the parasitic bottom plate capacitance loss. The phase controller module implements the MPPT Fractional V OC algorithm, in order to extract, as much as possible, the power taken in by the PV cells. Laboratorial results showed that the proposed system could start-up from a 0 V condition, under an irradiance as low as 0.32 W/m2. Afterwards, the system demands an irradiance of just 0.18 W/m2 to stay operating.

A contrast between the proposed system and some state of the art papers is shown in Table 2, showing its merits over them, namely in terms of PV cell area, minimum irradiance, minimum voltage supply and minimum controller power, besides being based on SC and integrated using a 0.13 μm CMOS technology.