Skip to main content

Intelligent Power Networks On-Chip

  • Chapter
  • First Online:
On-Chip Power Delivery and Management

Abstract

To facilitate the integration of diverse functionality, architectural, an integrated family of circuit, device, and material level power delivery solutions are required. Per core dynamic voltage and frequency scaling is a primary concern for efficiently managing a power budget, and requires the on-chip integration of compact controllers within hundreds of power domains and thousands of cores, further increasing the design complexity of these power delivery systems. While in-package and on-chip power integration has recently became a primary concern [185, 186], focus remains on developing compact and efficient power supplies. A methodology to design and manage in-package and on-chip power has not been a topic of emphasis. Thus, power delivery in modern ICs is currently dominated by ad hoc approaches. With the increasing number of power domains, greater granularity of the on-chip supply voltages, and domain adaptive power requirements, the design of the power delivery process has greatly increased in complexity, and is impractical without a systematic methodology. The primary objective of this chapter is to describe a systematic methodology for distributed on-chip power delivery and management.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 139.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 179.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J. Kim, W. Lee, Y. Shim, J. Shim, K. Kim, J.S. Pak, J. Kim, Chip-package hierarchical power distribution network modeling and analysis based on a segmentation method. IEEE Trans. Adv. Packag. 33(3), 647–659 (2010)

    Article  Google Scholar 

  2. P. Hazucha et al., A 233-MHz 80% –87% efficient four-phase DC – DC converter utilizing air-core inductors on package. IEEE J. Solid-State Circuits 40(4), 838–845 (2005)

    Article  Google Scholar 

  3. I. Vaisband, E.G. Friedman, Heterogeneous methodology for energy efficient distribution of on-chip power supplies. IEEE Trans. Power Electron. 28(9), 4267–4280 (2013)

    Article  Google Scholar 

  4. P.J. Restle et al., A clock distribution network for microprocessors. IEEE J. Solid-State Circuits 36(5), 792–799, May 2001

    Google Scholar 

  5. I. Vaisband, E.G. Friedman, R. Ginosar, A. Kolodny, Low power clock network design. J. Low Power Electron. Appl. 1(1), 219–246(2011)

    Google Scholar 

  6. M. Popovich, M. Sotman, A. Kolodny, E.G. Friedman, Effective radii of on-chip decoupling capacitors. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 16(7), 894–907 (2008)

    Google Scholar 

  7. S. Kose, E.G. Friedman, Distributed on-chip power delivery. IEEE J. Emerg. Sel. Top. Circuits Syst. 2(4), 704–713 (2012)

    Article  Google Scholar 

  8. S.R. Nassif, Power grid analysis benchmarks, in Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 376–381, Jan 2008

    Google Scholar 

  9. I. Vaisband, E.G. Friedman, Power Network On-Chip for Scalable Power Delivery, U.S. Patent (Application No. 62/042,572.)

    Google Scholar 

  10. I. Vaisband, E.G. Friedman, Dynamic power management with power network-on-chip, in Proceeding of the IEEE International Conference on New Circuits and Systems, pp. 225–228, June 2014

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

P.-Vaisband, I., Jakushokas, R., Popovich, M., Mezhiba, A.V., Köse, S., Friedman, E.G. (2016). Intelligent Power Networks On-Chip. In: On-Chip Power Delivery and Management. Springer, Cham. https://doi.org/10.1007/978-3-319-29395-0_9

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-29395-0_9

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-29393-6

  • Online ISBN: 978-3-319-29395-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics