Skip to main content

Power Supply Clustering in Heterogeneous Systems

  • Chapter
  • First Online:
On-Chip Power Delivery and Management

Abstract

On-chip power integration is necessary for delivering high quality power to modern high performance circuits. The tradeoff between power efficiency and area for switching and linear power supplies is discussed in Chap. 16 To optimize the power efficiency of a system with existing power supplies, the power should be primarily converted with a few power efficient switching supplies, delivered to on-chip voltage clusters, and regulated with linear low dropout regulators within the individual power domains. This principle with multiple voltage clusters is illustrated in Fig. 26.1 by a heterogeneous power delivery system with multiple power domains, off-chip/in-package/on-chip SMPS power converters, and on-chip LDO power regulators.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 139.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 179.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S. Kose, E.G. Friedman, Distributed on-chip power delivery. IEEE J. Emerg. Sel. Top. Circuits Syst. 2(4), 704–713 (2012)

    Article  Google Scholar 

  2. S.R. Nassif, Power grid analysis benchmarks, in Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 376–381, Jan 2008

    Google Scholar 

  3. S. Kose, S. Tam, S. Pinzon, B. McDermott, E.G. Friedman, Active filter based hybrid on-chip DC-DC converters for point-of-load voltage regulation. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 21(4), 680–691 (2013)

    Google Scholar 

  4. Y. Okuma, K. Ishida, Y. Ryu, X. Zhang, P.-H. Chen, K. Watanabe, M. Takamiya, T. Sakurai, 0.5-V input digital LDO with 98.7% current efficiency and 2.7-μA quiescent current in 65nm CMOS, in Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 1–4, Sept 2010

    Google Scholar 

  5. J. Gjanci, M.H. Chowdhury, A hybrid scheme for on-chip voltage regulation in system-on-a-chip (SOC). IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 19(11), 1949–1959 (2011)

    Google Scholar 

  6. Y.-H. Lam, W.-H. Ki, A 0.9 V 0.35 μm adaptively biased CMOS LDO regulator with fast transient response, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 442–626, Feb 2008

    Google Scholar 

  7. M. Ho, K.N. Leung, K.-L. Mac, A low-power fast-transient 90-nm low-dropout regulator with multiple small-gain stages. IEEE J. Solid-State Circuits 45(11), 2466–2475 (2010)

    Google Scholar 

  8. Z. Zeng, X. Ye, Z. Feng, P. Li, Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 831–836, June 2010

    Google Scholar 

  9. M.K. Tavana, M.H. Hajkazemi, D. Pathak, I. Savidis, H. Homayoun, ElasticCore: enabling dynamic heterogeneity with joint core and voltage/frequency scaling, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 1–6, June 2015

    Google Scholar 

  10. B. Amelifard, M. Pedram, Optimal design of the power-delivery network for multiple voltage-island system-on-chips. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(6), 888–900 (2009)

    Article  Google Scholar 

  11. I. Vaisband E.G. Friedman, Energy efficient adaptive clustering of on-chip power delivery systems. Integr. VLSI J. 48, 1–9 (2015)

    Article  Google Scholar 

  12. I. Vaisband, E.G. Friedman, Computationally efficient clustering of power supplies in heterogeneous real time systems, in Proceedings of the IEEE International Symposium on Circuit and Systems, pp. 1628–1631, June 2014

    Google Scholar 

  13. Y. Kim, P. Li, An ultra-low voltage digitally controlled low-dropout regulator with digital background calibration, in Proceedings of the IEEE International Symposium on Quality Electronic Design, pp. 151–158, Mar 2012

    Google Scholar 

  14. Y. Xiong, S. Sun, H. Jia, P. Shea, Z.J. Shen, New physical insights on power MOSFET switching losses. IEEE Trans. Power Electron. 24(2), 525–531 (2009)

    Article  Google Scholar 

  15. C.P. Robert, G. Casella, Monte Carlo Statistical Methods (Springer, New York, 1999)

    Book  MATH  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

P.-Vaisband, I., Jakushokas, R., Popovich, M., Mezhiba, A.V., Köse, S., Friedman, E.G. (2016). Power Supply Clustering in Heterogeneous Systems. In: On-Chip Power Delivery and Management. Springer, Cham. https://doi.org/10.1007/978-3-319-29395-0_26

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-29395-0_26

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-29393-6

  • Online ISBN: 978-3-319-29395-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics