Skip to main content

Stability in Distributed Power Delivery Systems

  • Chapter
  • First Online:
Book cover On-Chip Power Delivery and Management

Abstract

Delivering high quality power to support power efficient systems is a fundamental requirement of all ICs. While the quality of the power supply can be efficiently addressed with a point-of-load power delivery system [187, 191, 475477], the complexity of a dynamically controllable distributed POL power supply system is a significant design issue. Hundreds of on-chip power regulators need to be co-designed with billions of nonlinear current loads within a power domain, imposing a critical stability challenge on distributed power delivery systems. To cope with the design complexity of complex analog systems, modeling, optimization, and synthesis techniques are typically used [478]. To automate the design of a power delivery system, accurate methods to evaluate performance metrics (e.g., quality of transient response, stability, and power) are required.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 139.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 179.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. I. Vaisband, E.G. Friedman, Heterogeneous methodology for energy efficient distribution of on-chip power supplies. IEEE Trans. Power Electron. 28(9), 4267–4280 (2013)

    Google Scholar 

  2. S. Kose, E.G. Friedman, Distributed on-chip power delivery. IEEE J. Emerg. Sel. Top. Circuits Syst. 2(4), 704–713 (2012)

    Google Scholar 

  3. V. Kursun, E.G. Friedman, Multi-Voltage CMOS Circuit Design (Wiley, Hoboken, 2006)

    Google Scholar 

  4. P. Hazucha, T. Karnik, B.A. Bloechel, C. Parsons, D. Finan, S. Borkar, Area-efficient linear regulator with ultra-fast load regulation. IEEE J. Solid-State Circuits 40(4), 933–940 (2005)

    Google Scholar 

  5. M. Al-Shyoukh, H. Lee, R. Perez, A transient-enhanced low-quiescent current low-dropout regulator with buffer impedance attenuation. IEEE J. Solid-State Circuits 42(8), 1732–1742 (2007)

    Google Scholar 

  6. J. Guo, K.N. Leung, A 6-μW chip-area-efficient output-capacitorless LDO in 90-nm CMOS technology. IEEE J. Solid-State Circuits 45(9), 1896–1905 (2010)

    Google Scholar 

  7. S. Lai, B. Yan, P. Li, Stability assurance and design optimization of large power delivery networks with multiple on-chip voltage regulators, in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 247–254, Nov 2012

    Google Scholar 

  8. S. Lai, P. Li, A fully on-chip area-efficient CMOS low-dropout regulator with load regulation. Analog Integr. Circuits Signal Process. 72(2), 925–1030 (2012)

    Google Scholar 

  9. S. Lai, B. Yan, P. Li, Localized stability checking and design of IC power delivery with distributed voltage regulators. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(9), 1321–1334 (2013)

    Google Scholar 

  10. K.N. Leung, P. K.T. Mok, A Capacitor-free CMOS low-dropout regulator with damping-factor-control frequency compensation. IEEE J. Solid-State Circuits 38(10), 1691–1702 (2003)

    Google Scholar 

  11. P.Y. Or, K.N. Leung, An output-capacitorless low-dropout regulator with direct voltage-spike detection. IEEE J. Solid-State Circuits 45(2), 458–466 (2010)

    Article  Google Scholar 

  12. D.A. Johns, K. Martin, Analog Integrated Circuit Design (Wiley, New York, 1997)

    Google Scholar 

  13. T.Y. Man, P.K.T. Mok, M. Chan, A high slew-rate push-pull output amplifier for low-quiescent current low-dropout regulators with transient-response improvement. IEEE Trans. Circuits Syst. II: Express Briefs 54(9), 755–759 (2007)

    Google Scholar 

  14. M.G. Degrauwe, J. Rijmenants, E.A. Vittoz, H.J. de Man, Adaptive biasing CMOS amplifiers. IEEE J. Solid-State Circuits 17(3), 522–528 (1982)

    Google Scholar 

  15. M. Ho, K.N. Leung, K.-L. Mac, A low-power fast-transient 90-nm low-dropout regulator with multiple small-gain stages. IEEE J. Solid-State Circuits 45(11), 2466–2475 (2010)

    Google Scholar 

  16. S. Kose, E.G. Friedman, Fast algorithms for IR voltage drop analysis exploiting locality, in Proceedings of the IEEE/ACM Design Automation Conference, pp. 996–1001, June 2011

    Google Scholar 

  17. S. Kose, E.G Friedman, Efficient algorithms for fast IR drop analysis exploiting locality. Integr. VLSI J. 45(2), 149–161 (2012).

    Google Scholar 

  18. E. Alon, M. Horowitz, Integrated regulation for energy-efficient digital circuits. IEEE J. Solid-State Circuits 43(8), 1795–1807 (2008)

    Google Scholar 

  19. J.D. van Wyk, F.C. Lee, On a future of power electronics. IEEE J. Emerg. Sel. Top. Power Electron. 1(2), 59–72 (2013)

    Article  Google Scholar 

  20. R.A. Rutenbar, G.G.E. Gielen, J. Roychowdhury, Hierarchical modeling, optimization, and synthesis for system-level analog and RF designs. Proc. IEEE 95(3), 640–669 (2007)

    Google Scholar 

  21. S. Bin Nasir, S. Gangopadhyay, A. Raychowdhury, 5.6 A 0.13μm fully digital low-dropout regulator with adaptive control and reduced dynamic stability for ultra-wide dynamic range, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 1–3, Feb 2015

    Google Scholar 

  22. C.-H. Lin, K.-H. Chen, H.-W. Huang, Low-dropout regulators with adaptive reference control and dynamic push-pull techniques for enhancing transient performance. IEEE Trans. Power Electron. 24(4), 1016–1022 (2009)

    Article  Google Scholar 

  23. I. Vaisband, E.G. Friedman, Stability in distributed power delivery networks. IEEE Trans. Power Electron. Stability of Distributed Power Delivery Systems With Multiple Parallel On-Chip LDO Regulators 31(8), 5626–5634 Oct 2015

    Google Scholar 

  24. J.H. Mulligan Jr., The effect of pole and zero locations on the transient response of linear dynamic systems. Proc. Inst. Radio Eng. 37(5), 516–529 (1949)

    Google Scholar 

  25. J. Wagner, G. Stolovitzky, Stability and time-delay modeling of negative feedback loops. Proc. IEEE 96(8), 1398–1410 (2008)

    Google Scholar 

  26. J.E. Colgate, The Control of Dynamically Interacting Systems, Ph.D. Thesis, Massachusetts Institute of Technology, Aug 1988

    Google Scholar 

  27. J.L. Wyatt, L.O. Chua Jr., J. Gannett, I. Goknar, D. Green, Energy concepts in the state-space theory of nonlinear n-ports: part I-passivity. IEEE Trans. Circuits Syst. 28(1), 48–61 (1981)

    Google Scholar 

  28. O. Brune, Synthesis of a Finite Two-Terminal Network Whose Driving-Point Impedance Is a Prescribed Function of Frequency, Ph.D. Thesis, Massachusetts Institute of Technology, Aug 1931

    Google Scholar 

  29. N. Viswanathan et al., The ISPD-2011 routability-driven placement contest and benchmark suite, in Proceedings of the ACM International Symposium on Physical Design, pp. 141–146, Mar 2011

    Google Scholar 

  30. A. Brooke, D. Kendrick, A. Meeraus, GAMS: A User’s Guide (The Scientific Press, Redwood, 1992)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

P.-Vaisband, I., Jakushokas, R., Popovich, M., Mezhiba, A.V., Köse, S., Friedman, E.G. (2016). Stability in Distributed Power Delivery Systems. In: On-Chip Power Delivery and Management. Springer, Cham. https://doi.org/10.1007/978-3-319-29395-0_24

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-29395-0_24

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-29393-6

  • Online ISBN: 978-3-319-29395-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics