Skip to main content

Hybrid Voltage Regulator

  • Chapter
  • First Online:
  • 1983 Accesses

Abstract

A primary issue in the design of a conventional on-chip voltage converter is the physical area. The on-chip passive LC filter within a monolithic buck converter occupies a large area. Multiple on-chip buck converters are therefore infeasible due to the significant area of the passive components (such as in a multi-voltage microprocessor).

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   139.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   179.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. V. Kursun, E.G. Friedman, Multi-Voltage CMOS Circuit Design (Wiley, Hoboken, 2006)

    Google Scholar 

  2. P. Hazucha, T. Karnik, B.A. Bloechel, C. Parsons, D. Finan, S. Borkar, Area-efficient linear regulator with ultra-fast load regulation. IEEE J. Solid-State Circuits 40(4), 933–940 (2005)

    Google Scholar 

  3. V. Kursun, S.G. Narendra, V.K. De, E.G. Friedman, Analysis of buck converters for on-chip integration with a dual supply voltage microprocessor. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 11(3), 514–522 (2003)

    Google Scholar 

  4. M. Al-Shyoukh, H. Lee, R. Perez, A transient-enhanced low-quiescent current low-dropout regulator with buffer impedance attenuation. IEEE J. Solid-State Circuits 42(8), 1732–1742 (2007)

    Google Scholar 

  5. T.Y. Man, K.N. Leung, C.Y. Leung, P.K.T. Mok, M. Chan, Development of single-transistor-control LDO based on flipped voltage follower for SoC. IEEE Trans. Circuits Syst. I: Fundam. Theory Appl. 55(5), 1392–1401 (2008)

    Google Scholar 

  6. J. Guo, K.N. Leung, A 6-μW chip-area-efficient output-capacitorless LDO in 90-nm CMOS technology. IEEE J. Solid-State Circuits 45(9), 1896–1905 (2010)

    Google Scholar 

  7. G.A. Rincon-Mora, P.E. Allen, Optimized frequency-shaping circuit topologies for LDOs. IEEE Trans. Circuits Syst. II: Analog Digit. Signal Process. 45(6), 703–708 (1998)

    Article  Google Scholar 

  8. P.Y. Or, K.N. Leung, An output-capacitorless low-dropout regulator with direct voltage-spike detection. IEEE J. Solid-State Circuits 45(2), 458–466 (2010)

    Article  Google Scholar 

  9. C.-H. Wu, L.-R. Chang-Chien, L.-Y. Chiou, Active filter based on-chip step-down DC-DC switching voltage regulator, in Proceedings of the IEEE TENCON Conference, pp. 1–6, Nov 2005

    Google Scholar 

  10. P.R. Sallen, E.L. Key, A practical method for designing RC active filter. IRE Trans. Circuit Theory CT-2, 74–85 (1955)

    Article  Google Scholar 

  11. G. Daryanani, Principles of Active Network Synthesis and Design (Wiley, New York, 1976)

    Google Scholar 

  12. D.A. Johns, K. Martin, Analog Integrated Circuit Design (Wiley, New York, 1997)

    Google Scholar 

  13. Y. Ramadass, A. Fayed, B. Haroun, A. Chandrakasan, A 0.16mm2 completely on-chip switched-capacitor DC-DC converter using digital capacitance modulation for LDO replacement in 45nm CMOS, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 208–209, Feb 2010

    Google Scholar 

  14. H.-P. Le et al., A 32 nm fully integrated reconfigurable switched-capacitor DC-DC converter delivering 0.55W/mm2 at 81% efficiency, in Proceedings of the IEEE International Solid-State Circuits Conference, pp. 210–211, Feb 2010

    Google Scholar 

  15. G.W. den Besten, B. Nauta, Embedded 5 V-to-3.3 V voltage regulator for supplying digital IC’s in 3.3 V CMOS technology. IEEE J. Solid-State Circuits 33(7), 956–962 (1998)

    Google Scholar 

  16. K. Onizuka, K. Inagaki, H. Kawaguchi, M. Takamiya, T. Sakurai, Stacked-chip implementation of on-chip buck converter for distributed power supply system in SiPs. IEEE J. Solid-State Circuits 42(11), 2404–2410, Nov. (2007)

    Google Scholar 

  17. T.Y. Man, P.K.T. Mok, M. Chan, A high slew-rate push-pull output amplifier for low-quiescent current low-dropout regulators with transient-response improvement. IEEE Trans. Circuits Syst. II: Express Briefs 54(9), 755–759 (2007)

    Google Scholar 

  18. U.Y. Ogras, R. Marculescu, D. Marculescu, E.G. Jung, Design and management of voltage-frequency island partitioned networks-on-chip. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 17(3), 330–341 (2009)

    Google Scholar 

  19. Q. Zhou, J. Shi, B. Liu, Y. Cai, Floorplanning considering IR drop in multiple supply voltages island designs. IEEE Trans. Very Large Scale Integr. (VLSI) Circuits 19(4), 638–646 (2011)

    Google Scholar 

  20. E. Fayneh, E. Knoll, On-Chip Filter-Regulator, Such as One for a Microprocessor Phase Locked Loop (PLL) Supply, U.S. Patent 6,661,213, Dec 2003

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

P.-Vaisband, I., Jakushokas, R., Popovich, M., Mezhiba, A.V., Köse, S., Friedman, E.G. (2016). Hybrid Voltage Regulator. In: On-Chip Power Delivery and Management. Springer, Cham. https://doi.org/10.1007/978-3-319-29395-0_17

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-29395-0_17

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-29393-6

  • Online ISBN: 978-3-319-29395-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics