Skip to main content

Energy-Efficient Digital Processing via Approximate Computing

  • Chapter
  • First Online:
Smart Systems Integration and Simulation

Abstract

While the most characteristic feature of a smart system is its capability of sensing a set of environmental quantities and actuating appropriate actions in response to those signals, it is obvious that a significant part of its functional operations is involved with the elaboration of the information carried by the signals [14]. This elaboration is usually done after converting the analog, asynchronous environmental signals into the digital domain.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    However, in many AC architectures errors are either affected by previous history of inputs and output values, or by external conditions, hence this definition is not valid.

  2. 2.

    The precise rate of timing errors depends on circuit topology and on the characteristics of input data. Moreover, it can be modified with optimization techniques (e.g., gate sizing).

  3. 3.

    In general, we use capital letters to refer to a signal, and lowercase ones to indicate its value at a given instant in time.

  4. 4.

    Different sequences of data may cause a different VOS-error rate, which results in a different quality, but they have no influence on the error mitigation capabilities of the architecture.

  5. 5.

    In its normal operating mode, the tool only synthesizes the replica configuration with minimum B r to satisfy a quality constraint at each V vos.

References

  1. R. Abdallah, N. Shanbhag, Minimum-energy operation via error resiliency. IEEE Embed. Syst. Lett. 2(4), 115–118 (2010)

    Article  Google Scholar 

  2. A. Alaghi, J.P. Hayes, Survey of stochastic computing. ACM Trans. Embed. Comput. Syst. 12(2s), 92:1–92:19 (2013)

    Google Scholar 

  3. N. Banerjee, G. Karakonstantis, K. Roy, Process variation tolerant low power DCT architecture, in Design, Automation Test in Europe Conference Exhibition, DATE ‘07 (2007), pp. 1–6

    Google Scholar 

  4. N. Bombieri, D. Drogoudis, G. Gangemi, R. Gillon, E. Macii, M. Poncino, S. Rinaudo, F. Stefanni, D. Trachanis, M. van Helvoort, SMAC: smart systems co-design, in Euromicro Conference on Digital System Design (DSD) (2013), pp. 253–259

    Google Scholar 

  5. S. Chakradhar, A. Raghunathan, Best-effort computing: re-thinking parallel software and hardware, in 47th ACM/IEEE Design Automation Conference (DAC) (2010), pp. 865–870

    Google Scholar 

  6. L.N.B. Chakrapani, K.V. Palem, A probabilistic boolean logic for energy efficient circuit and system design, in Proceedings of the 2010 Asia and South Pacific Design Automation Conference, ASPDAC ‘10 (IEEE Press, Piscataway, NJ, 2010), pp. 628–635

    Google Scholar 

  7. J. Chen, J. Hu, Energy-efficient digital signal processing via voltage-overscaling-based residue number system. IEEE Trans. Very Large Scale Integr. Syst. 21(7), 1322–1332 (2013)

    Article  Google Scholar 

  8. V. Chippa, D. Mohapatra, A. Raghunathan, K. Roy, S. Chakradhar, Scalable effort hardware design: exploiting algorithmic resilience for energy efficiency, in 47th ACM/IEEE Design Automation Conference (DAC) (2010), pp. 555–560

    Google Scholar 

  9. V. Chippa, A. Raghunathan, K. Roy, S. Chakradhar, Dynamic effort scaling: managing the quality-efficiency tradeoff, in 48th ACM/EDAC/IEEE Design Automation Conference (DAC) (2011), pp. 603–608

    Google Scholar 

  10. V. Chippa, S. Chakradhar, K. Roy, A. Raghunathan, Analysis and characterization of inherent application resilience for approximate computing, in 50th ACM/EDAC/IEEE Design Automation Conference (DAC) (2013), pp. 1–9

    Google Scholar 

  11. J. Cong, V. Sarkar, G. Reinman, A. Bui, Customizable domain-specific computing. IEEE Trans. Des. Test Comput. 28(2), 6–15 (2011)

    Article  Google Scholar 

  12. M. Crepaldi, M. Grosso, A. Sassone, S. Gallinaro, S. Rinaudo, M. Poncino, E. Macii, D. Demarchi, A top-down constraint-driven methodology for smart system design. IEEE Circuits Syst. Mag. 14(1), 37–57 (2014)

    Article  Google Scholar 

  13. S. Das, C. Tokunaga, S. Pant, W.H. Ma, S. Kalaiselvan, K. Lai, D. Bull, D. Blaauw, Razorii: in situ error detection and correction for PVT and SER tolerance. IEEE J. Solid State Circuits 44(1), 32–48 (2009)

    Article  Google Scholar 

  14. 2014 MultiAnnual Strategic Research and Innovation Agenda (MASRIA) for the ECSEL Joint Undertaking (2014)

    Google Scholar 

  15. D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N.S. Kim, K. Flautner, Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro 24(6), 10–20 (2004)

    Article  Google Scholar 

  16. B.R. Gaines, Stochastic computing, in Proceedings of the Spring Joint Computer Conference, AFIPS ‘67 (1967), pp. 149–156

    Google Scholar 

  17. S. Ghosh, S. Bhunia, K. Roy, Crista: a new paradigm for low-power, variation-tolerant, and adaptive circuit synthesis using critical path isolation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(11), 1947–1956 (2007)

    Article  Google Scholar 

  18. W. Gross, V. Gaudet, A. Milner, Stochastic implementation of LDPC decoders, in Conference Record of the Thirty-Ninth Asilomar Conference on Signals, Systems and Computers (2005), pp. 713–717

    Google Scholar 

  19. V. Gupta, D. Mohapatra, A. Raghunathan, K. Roy, Low-power digital signal processing using approximate adders. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(1), 124–137 (2013)

    Article  Google Scholar 

  20. J. Han, M. Orshansky, Approximate computing: an emerging paradigm for energy-efficient design, in 18th IEEE European Test Symposium (ETS) (2013), pp. 1–6

    Google Scholar 

  21. K. He, A. Gerstlauer, M. Orshansky, Controlled timing-error acceptance for low energy idct design, in Design, Automation Test in Europe Conference Exhibition (DATE) (2011), pp. 1–6

    Google Scholar 

  22. R. Hegde, N. Shanbhag, Energy-efficient signal processing via algorithmic noise-tolerance, in International Symposium on Low Power Electronics and Design (ISLPED) (1999), pp. 30–35

    Google Scholar 

  23. R. Hegde, N. Shanbhag, Soft digital signal processing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(6), 813–823 (2001)

    Google Scholar 

  24. J. Huang, J. Lach, G. Robins, A methodology for energy-quality tradeoff using imprecise hardware, in 49th ACM/EDAC/IEEE Design Automation Conference (DAC) (2012), pp. 504–509

    Google Scholar 

  25. A. Kahng, S. Kang, Accuracy-configurable adder for approximate arithmetic designs, in 49th ACM/EDAC/IEEE Design Automation Conference (DAC) (2012), pp. 820–825

    Google Scholar 

  26. A. Kahng, S. Kang, R. Kumar, J. Sartori, Slack redistribution for graceful degradation under voltage overscaling, in 15th Asia and South Pacific Design Automation Conference (ASP-DAC) (2010), pp. 825–831

    Google Scholar 

  27. G. Karakonstantis, K. Roy, Voltage over-scaling: a cross-layer design perspective for energy efficient systems, in 20th European Conference on Circuit Theory and Design (ECCTD) (2011), pp. 548–551

    Google Scholar 

  28. G. Karakonstantis, D. Mohapatra, K. Roy, System level DSP synthesis using voltage overscaling, unequal error protection and adaptive quality tuning, in IEEE Workshop on Signal Processing Systems (SiPS) (2009), pp. 133–138

    Google Scholar 

  29. P. Kulkarni, P. Gupta, M. Ercegovac, Trading accuracy for power with an underdesigned multiplier architecture, in 24th International Conference on VLSI Design (VLSI Design) (2011), pp. 346–351

    Google Scholar 

  30. K.Y. Kyaw, W.L. Goh, K.S. Yeo, Low-power high-speed multiplier for error-tolerant application, in IEEE International Conference of Electron Devices and Solid-State Circuits (EDSSC) (2010), pp. 1–4

    Google Scholar 

  31. L. Leem, H. Cho, J. Bau, Q. Jacobson, S. Mitra, ERSA: error resilient system architecture for probabilistic applications, in Design, Automation Test in Europe Conference Exhibition (DATE) (2010), pp. 1560–1565

    Google Scholar 

  32. J. Liang, J. Han, F. Lombardi, New metrics for the reliability of approximate and probabilistic adders. IEEE Trans. Comput. 62(9), 1760–1771 (2013)

    Article  MathSciNet  Google Scholar 

  33. C. Liu, J. Han, F. Lombardi, A low-power, high-performance approximate multiplier with configurable partial error recovery, in Proceedings of the Conference on Design, Automation & Test in Europe (DATE) (2014), pp. 95:1–95:4

    Google Scholar 

  34. S.L. Lu, Speeding up processing with approximation circuits. Computer 37(3), 67–73 (2004)

    Article  Google Scholar 

  35. E. Macii, Ultra Low-Power Electronics and Design (Springer US, New Mexico, NM, 2004)

    Book  Google Scholar 

  36. H. Mahdiani, A. Ahmadi, S. Fakhraie, C. Lucas, Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Trans. Circuits Syst. Regul. Pap. 57(4), 850–862 (2010)

    Article  MathSciNet  Google Scholar 

  37. J. Meng, S. Chakradhar, A. Raghunathan, Best-effort parallel execution framework for recognition and mining applications, in IEEE International Symposium on Parallel Distributed Processing (2009), pp. 1–12

    Google Scholar 

  38. D. Mohapatra, G. Karakonstantis, K. Roy, Significance driven computation: a voltage-scalable, variation-aware, quality-tuning motion estimator, in Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) (2009), pp. 195–200

    Google Scholar 

  39. D. Mohapatra, V. Chippa, A. Raghunathan, K. Roy, Design of voltage-scalable meta-functions for approximate computing, in Design, Automation Test in Europe Conference Exhibition (DATE) (2011), pp. 1–6

    Google Scholar 

  40. K. Nepal, Y. Li, R.I. Bahar, S. Reda, Abacus: a technique for automated behavioral synthesis of approximate computing circuits, in Proceedings of the Conference on Design, Automation & Test in Europe (DATE) (2014), pp. 361:1–361:6

    Google Scholar 

  41. K. Palem, A. Lingamneni, What to do about the end of Moore’s law probably, in Proceedings of the 49th Design Automation Conference (DAC) (2012), pp. 924–929

    Google Scholar 

  42. W.J. Poppelbaum, C. Afuso, J.W. Esch, Stochastic computing elements and systems, in Proceedings of the Joint Computer Conference (AFIPS) (1967), pp. 635–644

    Google Scholar 

  43. J.G. Proakis, D.G. Manolakis, Digital Signal Processing. Principles, Algorithms, and Applications, 3rd edn. (Prentice-Hall, Princeton, NJ, 1996)

    Google Scholar 

  44. A. Ranjan, A. Raha, S. Venkataramani, K. Roy, A. Raghunathan, ASLAN: synthesis of approximate sequential circuits, in Design, Automation and Test in Europe Conference and Exhibition (DATE) (2014), pp. 1–6

    Google Scholar 

  45. B. Shim, N. Shanbhag, Performance analysis of algorithmic noise-tolerance techniques, in Proceedings of the 2003 International Symposium on Circuits and Systems (ISCAS), vol. 4 (2003), pp. IV-113–IV-116

    Google Scholar 

  46. B. Shim, S. Sridhara, N. Shanbhag, Reliable low-power digital signal processing via reduced precision redundancy. IEEE Trans. Very Large Scale Integr. Syst. 12(5), 497–510 (2004)

    Article  Google Scholar 

  47. D. Shin, S. Gupta, Approximate logic synthesis for error tolerant applications, in Design, Automation Test in Europe Conference Exhibition (DATE) (2010), pp. 957–960

    Google Scholar 

  48. D. Shin, S. Gupta, A new circuit simplification method for error tolerant applications, in Design, Automation Test in Europe Conference Exhibition (DATE) (2011), pp. 1–6

    Google Scholar 

  49. A. Tanenbaum, Computer Networks, 4th edn. Prentice Hall Professional Technical Reference (Prentice Hall, Princeton, NJ, 2002)

    Google Scholar 

  50. S. Venkataramani, A. Sabne, V. Kozhikkottu, K. Roy, A. Raghunathan, Salsa: systematic logic synthesis of approximate circuits, in 49th ACM/EDAC/IEEE Design Automation Conference (DAC) (2012), pp. 796–801

    Google Scholar 

  51. S. Venkataramani, V.K. Chippa, S.T. Chakradhar, K. Roy, A. Raghunathan, Quality programmable vector processors for approximate computing, in Proceedings of the 46th IEEE/ACM International Symposium on Microarchitecture (MICRO-46) (2013), pp. 1–12

    Google Scholar 

  52. S. Venkataramani, S. Chakradhar, K. Roy, A. Raghunathan, Approximate computing for efficient information processing, in 12th IEEE Symposium on Embedded Systems for Real-time Multimedia (ESTIMedia) (2014), pp. 9–10

    Google Scholar 

  53. A. Verma, P. Brisk, P. Ienne, Variable latency speculative addition: a new paradigm for arithmetic circuit design, in Design, Automation and Test in Europe (DATE) (2008), pp. 1250–1255

    Google Scholar 

  54. Z. Yang, A. Jain, J. Liang, J. Han, F. Lombardi, Approximate XOR/XNOR-based adders for inexact computing, in 13th IEEE Conference on Nanotechnology (IEEE-NANO) (2013), pp. 690–693

    Google Scholar 

  55. Y. Yetim, M. Martonosi, S. Malik, Extracting useful computation from error-prone processors for streaming applications, in Proceedings of the Conference on Design, Automation and Test in Europe (DATE) (2013), pp. 202–207

    Google Scholar 

  56. N. Zhu, W.L. Goh, K.S. Yeo, An enhanced low-power high-speed adder for error-tolerant application, in Proceedings of the 12th International Symposium on Integrated Circuits (ISIC) (2009), pp. 69–72

    Google Scholar 

  57. N. Zhu, W.L. Goh, W. Zhang, K.S. Yeo, Z.H. Kong, Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. IEEE Trans. Very Large Scale Integr. Syst. 18(8), 1225–1229 (2010).

    Article  Google Scholar 

  58. N. Zhu, W.L. Goh, G. Wang, K.S. Yeo, Enhanced low-power high-speed adder for error-tolerant application, in International SoC Design Conference (ISOCC) (2010), pp. 323–327

    Google Scholar 

  59. N. Zhu, W.L. Goh, K.S. Yeo, Ultra low-power high-speed flexible probabilistic adder for error-tolerant applications, in International SoC Design Conference (ISOCC) (2011), pp. 393–396

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Daniele Jahier Pagliari .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Pagliari, D.J., Poncino, M., Macii, E. (2016). Energy-Efficient Digital Processing via Approximate Computing. In: Bombieri, N., Poncino, M., Pravadelli, G. (eds) Smart Systems Integration and Simulation. Springer, Cham. https://doi.org/10.1007/978-3-319-27392-1_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-27392-1_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-27390-7

  • Online ISBN: 978-3-319-27392-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics