Skip to main content

Dual Work Function Silicon Nanowire MOS Transistors

  • Chapter
  • First Online:
Silicon Nanowire Transistors

Abstract

In the past, there were several attempts to develop alternative technologies, including molecular technologies that were aimed to replace the current VLSI technology. However, conventional silicon-based technologies prevailed as solid choices over the newcomers for fabricating low power nano devices and circuits without sacrificing high performance. As today’s chips require larger die areas to accommodate complex System-On-Chip (SOC) designs, reducing overall power dissipation has been accepted as the major design objective, replacing the need for faster circuit performance. Recent modeling studies in undoped, double-gated SOI MOS transistors revealed that these transistors could produce an order of magnitude less leakage current compared to conventional bulk silicon MOS transistors for achieving ultra-low power consumption. However, fabricating ultra-thin transistors sandwiched between two gates with adjustable work function is highly questionable in a production environment since both gates have to be made out of metal in order to produce proper threshold voltage and therefore to maintain a healthy circuit operation. Another good candidate is a nano-scale, triple-gated SOI transistors or FINFETs. Recent studies on these devices showed close-to-ideal subthreshold slope and Drain-Induced-Barrier-Lowering (DIBL), both of which are important factors to reduce OFF current and power consumption. Besides these promising technologies, Silicon Nanowire MOS Transistors (SNT) also offer significant reduction in static and dynamic power consumption and compact layout area without sacrificing circuit performance.

This chapter first examines the device design process of dual work function SNTs to achieve minimum power dissipation. It then studies the circuit performance, static and dynamic power figures of basic CMOS logic gates and mega cells built with SNTs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 74.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 99.00
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 99.00
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Collier CP, Wong EW, Belohradsky M, Raymo FM, Stoddard JF, Kuekes PJ, Williams RS, Heath JR (1999) Electronically configurable molecular-based logic gates. Science 285:391–394

    Article  Google Scholar 

  2. Goldstein SC, Budiu M (2001) Nanofabrics: spatial computing using molecular electronics. Proc 28th Annu Int Symp Comp Archit: 178–189

    Google Scholar 

  3. Kim K, Das KK, Joshi RV, Chuang C-T (2005) Leakage power analysis of 25-nm double-gate CMOS devices and circuits. IEEE Trans Electron Devices 52(5):980–986

    Article  Google Scholar 

  4. Tsutsui G, Saitoh M, Nagumo T, Hiramoto T (2005) Impact of SOI thickness fluctuation on threshold voltage variation in ultrathin body SOI MOSFETs. IEEE Trans Nanotechnol 4(3):369–373

    Article  Google Scholar 

  5. Zhang R, Roy K, Janes DB (2001) Double-gate fully-depleted SOI transistors for low-power high performance nano-scale circuit design. Proc Int Symp Low Power Electron Design: 213–218

    Google Scholar 

  6. Reddy GV, Kumar MJ (2005) A new dual-material double-gate (DMDG) nanoscale SOI MOSFET-two dimensional analytical modeling and simulation. IEEE Trans Nanotechnol 4(2):260–268

    Article  Google Scholar 

  7. Kumar A, Kedzierski J, Laux SE (2005) Quantum-based simulation analysis of scaling in ultrathin body device structures. IEEE Trans Electron Devices 52(4):614–617

    Article  Google Scholar 

  8. Vasileska D, Ahmed SS (2005) Narrow-Width SOI devices: the role of quantum-mechanical size quantization effect and unintentional doping on device operation. IEEE Trans Electron Devices 52(2):227–236

    Article  Google Scholar 

  9. Yang J-W, Fossum J (2005) On the feasibility of nanoscale triple-gate CMOS transistors. IEEE Trans Electron Devices 52(6):1159–1164

    Article  Google Scholar 

  10. Yu B, Chang L, Ahmed S, Wang H, Bell S, Yang C-Y, Tabery C, Ho C, Xiang Q, King T-J, Bokor J, Hu C, Lin M-R, Kyser D (2002) FinFET scaling to 10 nm length. Tech Dig IEDM: 251–254

    Google Scholar 

  11. Choi Y-K, Chang L, Ranade P, Lee J-S, Ha D, Balasubramanian S, Agarwal A, Ameen M, King T-J, Bokor J (2002) FinFET process refinements for improved mobility and gate work function engineering. Tech Dig IEDM: 259–262

    Google Scholar 

  12. Wagner RS, Ellis WC (1964) Vapor–liquid–Solid mechanism of single crystal growth. Appl Phys Lett 4(5):89–90

    Article  Google Scholar 

  13. Kamins TI, Williams SR, Basile DP, Hesjedal T, Harris JS (2001) Ti-catalyzed Si nanowires by chemical vapor deposition: Microscopy and growth mechanisms. J Appl Phys 89(2):1008–1016

    Article  Google Scholar 

  14. Islam MS, Sharma S, Kamins TI, Williams RS (2004) Ultra-high-density silicon nanobridges formed between two vertical silicon surfaces. Nanotechnology 15:L5–L8

    Article  Google Scholar 

  15. Kikuchi T, Moriya S, Nakatsuka Y, Matsuoka H, Nakazato K, Nishida A, Chakihara H, Matsuoka M, Moniwa M (2004) A new vertically stacked poly-Si MOSFET for 533 MHz high speed 64 mbit SRAM. Tech Dig IEDM: 923–926

    Google Scholar 

  16. Takato H, Sunouchi K, Okabe N, Nitayama A, Hieda K, Horiguchi F, Masuoka F (1991) Impact of surrounding gate transistor (SGT) for ultra-high-density LSI. IEEE Trans Electron Devices 38(3):573–578

    Article  Google Scholar 

  17. Zheng Y, Rivas C, Lake R, Alam K, Boykin TB, Klimeck G (2005) Electronic properties of silicon nanowires. IEEE Trans Electron Devices 52(6):1097–1103

    Article  Google Scholar 

  18. Miyano S, Hirose M, Masuoka F (1992) Numerical analysis of a cylindrical thin-pillar transistor (CYNTHIA). IEEE Trans Electron Devices 39(8):1876–1881

    Article  Google Scholar 

  19. Dwyer C, Vicci L, Poulton J, Erie D, Superfine R, Washburn S, Taylor RM (2004) The design of DNA self-assembled computing circuitry. IEEE Trans VLSI Syst 12(11):1214–1220

    Article  Google Scholar 

  20. Dwyer C, Vicci L, Taylor RM (2003) Performance simulation of nanoscale silicon rod field-effect transistor logic. IEEE Trans Nanotechnol 2(2):69–74

    Article  Google Scholar 

  21. Sze SM (1981) Physics of semiconductor devices, 2nd edn. Wiley, New York

    Google Scholar 

  22. Choi Y-K, Ha D, King T-J, Bokor J (2003) Investigation of gate induced drain leakage (GIDL) current in thin body devices: single-gate ultrathin body, symmetrical double gate, and asymmetrical double gate MOSFETs. Jpn J Appl Phys 42:2073–2076

    Article  Google Scholar 

  23. Semenov O, Pradzynski A, Sachdev M (2002) Impact of gate induced drain leakage on overall leakage of submicrometer CMOS VLSI circuits. IEEE Trans Sem Manufac 15(1):9–18

    Article  Google Scholar 

  24. Wettstein A, Schenk A, Fichtner W (2001) Quantum device-simulation with density gradient model on unstructured grids. IEEE Trans Electron Devices 48(2):279–283

    Article  Google Scholar 

  25. Lombardi C, Manzini S, Saporito A, Vanzi M (1988) A physically based mobility model for numerical simulation of nonplanar devices. IEEE Trans Comput Aided Design Integr Circuits Syst 7(11):1164–1171

    Article  Google Scholar 

  26. Caughey DM, Thomas RE (1967) Carrier mobilities in silicon empirically related to doping and field. Proc IEEE 55(no. 12):2192–2193

    Article  Google Scholar 

  27. Arora ND, Hauser JR, Roulston DJ (1982) Electron and hole mobilities in silicon as a function of concentration and temperature. IEEE Trans Electron Devices ED-29:292–295

    Article  Google Scholar 

  28. Serberherr S (1984) Process and device modeling for VLSI. Microelec Reliab 24(2):225–257

    Article  Google Scholar 

  29. Liu Z-H, Hu C, Huang J-H, Chan T-Y, Jeng M-C, Ko PK, Cheng YC (1993) Threshold voltage model for deep-submicrometer mosfets. IEEE Trans Electron Devices 40(1):86–95

    Article  Google Scholar 

  30. Sery G, Borkar S, De V (2002) Life is CMOS: why chase the life after. Proc Design Autom Conf: 78–83

    Google Scholar 

  31. Chiang TK (2005) New current–voltage model for surrounding-gate metal-oxide-semiconductor field effect transistors. Jpn J Appl Phys 44(9A):6446–6451

    Article  Google Scholar 

  32. Chen Q, Harrell EM, Meindl JD (2003) A physical short-channel threshold voltage model for undoped symmetric double-gate MOSFETs. IEEE Trans Electron Devices 50(7):1631–1637

    Article  Google Scholar 

  33. Boeuf F et al (2004) A conventional 45 nm CMOS node low-cost platform for general purpose and low power applications. Tech Dig IEDM: 425–428

    Google Scholar 

  34. Numata T et al (2004) Performance enhancement of partially and fully-depleted strained-SOI MOSFETs and characterization of strained Si device parameters. Tech Dig IEDM: 177–180

    Google Scholar 

  35. Shima A, Ashihara H, Hiraiwa A, Mine T, Goto Y (2005) Ultra-shallow junction formation by self-limiting LTP and its application to sub-65 nm node MOSFETs. IEEE Trans Electron Devices 52(6):1165–1171

    Article  Google Scholar 

  36. Wang HCH et al (2004) Low power device technology with SiGe channel, HfSiON, and Poly-Si gate. Tech Dig IEDM: 161–164

    Google Scholar 

  37. Luo Z et al (2004) High performance and low power transistors integrated in 65 nm bulk CMOS technology. Tech Dig IEDM: 661–664

    Google Scholar 

  38. Lindert N, Choi YK, Chang L, Anderson E, Lee WC (2001) Quasiplanar NMOS FinFETs with sub-100 nm gate lengths. Proc Device Res Conf: 26–27

    Google Scholar 

  39. Choi YK, Lindert N, Xuan P, Tang S, Ha D, Anderson E (2001) Sub-20 nm CMOS FinFET technologies. Tech Dig IEDM: 421–424

    Google Scholar 

  40. Wakabayashi H et al (2004) Transport properties of sub-10 nm planar-bulk-CMOS devices. Tech Dig IEDM: 429–432

    Google Scholar 

  41. Bai P et al (2004) A 65 nm logic technology featuring 35 nm gate lengths, enhanced strain, 8 Cu interconnect layers, low-k ILD and 0.57 μm SRAM cell. Tech Dig IEDM: 657–660

    Google Scholar 

  42. Kedzierski J, Dried DM, Nowak EJ, Kanarsky T, Rankin JH (2001) High performance symmetric-gate and CMOS compatible Vt asymmetric-gate FinFET devices. Tech Dig IEDM: 437–440

    Google Scholar 

  43. Yang FL, Chen HY, Chen FC, Chan YL (2001) 35 nm CMOS FinFETs. Proc Symp VLSI Tech: 104–105

    Google Scholar 

  44. Concannon A, Piccinini F, Mathewson A, Lombardi C (1995) The numerical simulation of substrate and gate currents in MOS and EPROMS. Tech Dig IEDM: 289–292

    Google Scholar 

  45. Dort MJV, Woerlee PH, Walker AJ (1994) A simple model for quantisation effects in heavily-doped silicon MOSFETs at inversion conditions. Solid State Electron 37(3):411–414

    Article  Google Scholar 

  46. Srivastava N, Banerjee K (2004) A comparative scaling analysis of metallic and carbon nanotube interconnections for nanometer scale VLSI technologies. Proc 21st Int Multilevel Interconnect Conf: 393–398

    Google Scholar 

  47. Moon P, Dubin V, Johnston S, Leu J, Raol K, Wu C (2003) Process roadmap and challenges for metal barriers. Tech Dig IEDM: 841–844

    Google Scholar 

  48. Tada M et al (2003) A 65 nm-Node, Cu interconnect technology using porous SiOCH film (k = 2.5) covered with ultra-thin, low-k pore seal (k = 2.7). Tech Dig IEDM: 845–848

    Google Scholar 

  49. Nakai S et al (2003) A 65 nm CMOS technology with high performance and low-leakage transistor, a 6T-SRAM cell and robust hybrid-ULK/Cu interconnects for mobile multimedia applications. Tech Dig IEDM: 285–288

    Google Scholar 

  50. Kondo S, Yoon BU, Tokitoh S, Misawa K, Sone S, Shin HJ, Ohashi N, Kobayashi N (2003) Low-pressure CMP for 300-mm ultra low-k (k = 1.6 1.8)/Cu integration. Tech Dig IEDM: 151–154

    Google Scholar 

  51. Isobayashi A, Enomoto Y, Yamada H, Takahashi S, Kadomura S (2004) Thermally robust Cu interconnects with Cu–Ag alloy for sub 45 nm node. Tech Dig IEDM: 953–956

    Google Scholar 

  52. Alers GB, Sukamto J, Park S, Harm G, Reid J (2006) Containing the finite size effect in copper lines. Semicond Int 29(5):38–42

    Google Scholar 

  53. Alioto M, Palumbo G (2002) Analysis and comparison on full adder block in submicron technology. IEEE Trans VLSI Syst 10(6):806–823

    Article  Google Scholar 

  54. Chang CH, Gu J, Zhang M (2005) A review of 0.18-μm full adder performances for tree structured arithmetic circuits. IEEE Trans VLSI Syst 13(6):686–695

    Article  Google Scholar 

  55. Shams AM, Darwish TK, Bayoumi MA (2002) Performance analysis of low-power 1-Bit CMOS full adder cells. IEEE Trans VLSI Syst 10(1):20–29

    Article  Google Scholar 

  56. Sayed M, Badawy W (2002) Performance analysis of single-bit full adder cells using 0.18, 0.25 and 0.35 μm CMOS technologies. IEEE Int Symp Circuits Sys: 559–562

    Google Scholar 

  57. Chang CH, Zhang M, Gu J (2003) A novel low power low voltage full adder cell. Proc 3rd Int Symp Image Signal Process Anal: 454–458

    Google Scholar 

  58. Khatibzade AA, Raahemifar K (2004) A study and comparison of full adder cells based on the standard static CMOS logic. Proc Can Conf Electr Comp Eng: 2139–2142

    Google Scholar 

  59. Goel S, Gollamudi S, Kumar A, Bayoumi M (2004) On the design of low-energy hybrid CMOS 1-Bit full adder cells. Proc 47th Midwest Symp Circuits Syst: 209–212

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Bindal, A., Hamedi-Hagh, S. (2016). Dual Work Function Silicon Nanowire MOS Transistors. In: Silicon Nanowire Transistors. Springer, Cham. https://doi.org/10.1007/978-3-319-27177-4_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-27177-4_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-27175-0

  • Online ISBN: 978-3-319-27177-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics