Skip to main content

Integrating 3D Floorplanning and Optimization of Thermal Through-Silicon Vias

  • Chapter
  • First Online:
  • 1450 Accesses

Abstract

While 3D ICs help improve circuit performance and energy efficiency through the reduction of average wirelength and the increase in communication bandwidth of on-chip wiring, their thermal management remains one of the most challenging obstacles to their commercialization. We present a physical design flow that integrates thermal-driven 3D floorplanning with placement of thermal through-silicon vias (TSVs). We refer to the latter as localized TSV placement. While the floorplanning phase accounts for wirelength and chip area, the thermal-verification phase inserts thermal TSVs to improve the vertical heat flow in the chip stack. Such additional TSVs help reduce the number and magnitudes of hotspots which, in turn, alleviate the negative impact of heat dissipation on chip performance and reliability. The essence of the flow is to analyze the layered thermal map of the chip stack and then insert thermal TSVs iteratively until the maximal on-chip temperature falls below a pre-selected threshold. To do so, one important step is to accurately determine the location and number of thermal TSVs which have the largest impact on reducing hotspot temperatures. The experimental results show the suitability of our algorithm for significantly reducing maximum chip temperature at reasonable density levels for thermal TSVs (up to 100 K reduction at 0.5 % TSV density). The beneficial impact of thermal-TSV insertion strongly increases with the number of stacked chips.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. E. Beyne, The rise of the 3rd dimension for system integration, in 2006 International Interconnect Technology Conference (IEEE, Burlingame, 2006), pp. 1–5

    Book  Google Scholar 

  2. P. Budhathoki, J. Knechtel, A. Henschel, I.A.M. Elfadel, Integration of thermal management and floorplanning based on three-dimensional layout representations, in Proceedings of the International Conference on Electronics, Circuits, and Systems (IEEE, Abu Dhabi, 2013), pp. 962–965

    Google Scholar 

  3. P. Budhathoki, A. Henschel, I.A.M. Elfadel, Thermal-driven 3D floorplanning using localized TSV placement, in 2014 IEEE International Conference on IC Design & Technology (ICICDT) (IEEE, Austin, 2014), pp. 1–4

    Book  Google Scholar 

  4. J. Cong, J. Wei, Y. Zhang, A thermal-driven floorplanning algorithm for 3D ICs, in IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 (2004), pp. 306–313

    Google Scholar 

  5. J. Cong, G. Luo, Y. Shi, Thermal-aware cell and through-silicon-via co-placement for 3D ICs, in 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC) (2011), pp. 670–675

    Google Scholar 

  6. W.R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A.M. Sule, M. Steer, P.D. Franzon, Demystifying 3D ICs: the pros and cons of going vertical. IEEE Des. Test Comput. 22(6), 498–510 (2005)

    Article  Google Scholar 

  7. R. Fischbach, J. Lienig, J. Knechtel, Investigating modern layout representations for improved 3D design automation, in Proceedings of the 21st Edition of the Great Lakes Symposium on Great Lakes Symposium on VLSI, GLSVLSI ’11 (ACM, New York, 2011), pp. 337–342

    Book  Google Scholar 

  8. B. Goplen, S. Sapatnekar, Thermal via placement in 3D ICs, in Proceedings of the 2005 International Symposium on Physical Design, ISPD ’05 (ACM, New York, 2005), pp. 167–174

    Book  Google Scholar 

  9. GSRC Benchmarks, http://vlsicad.eecs.umich.deu/BK/GSRCbench/ (2000)

  10. X. Hong, G. Huang, Y. Cai, J. Gu, S. Dong, C.-K. Cheng, J. Gu, Corner block list: an effective and efficient topological representation of non-slicing floorplan, in IEEE/ACM International Conference on Computer Aided Design, 2000. ICCAD-2000 (IEEE, San Jose, 2000), pp. 8–12

    Google Scholar 

  11. W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, M.R. Stan, HotSpot: a compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. Very Large Scale Integr. Syst. 14(5), 501–513 (2006)

    Article  Google Scholar 

  12. J. Jeddeloh, B. Keeth, Hybrid memory cube new dram architecture increases density and performance, in Symposium on VLSI Technology (VLSIT), 2012 (IEEE, Honolulu, 2012), pp. 87–88

    Book  Google Scholar 

  13. J.W. Joyner, R. Venkatesan, P. Zarkesh-Ha, J.A. Davis, J.D. Meindl, Impact of three-dimensional architectures on interconnects in gigascale integration. IEEE Trans. Very Large Scale Integr. Syst. 9(6), 922–928 (2001)

    Article  Google Scholar 

  14. J. Knechtel, E.F. Young, J. Lienig, Structural planning of 3D-IC interconnects by block alignment, in ASP-DAC (2014), pp. 53–60

    Google Scholar 

  15. J. Knechtel, E.F. Young, J. Lienig, Planning massive interconnects in 3D chips. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34, 1808–1821 (2015)

    Article  Google Scholar 

  16. S. Lee, T.F. Lemczyk, M. Yovanovich, Analysis of thermal vias in high density interconnect technology, in Eighth Annual IEEE Semiconductor Thermal Measurement and Management Symposium, 1992. SEMI-THERM VIII (1992), pp. 55–61

    Google Scholar 

  17. S.K. Lim, 3D-MAPS: 3D massively parallel processor with stacked memory, in Design for High Performance, Low Power, and Reliable 3D Integrated Circuits (Springer, New York, 2013), pp. 537–560

    Google Scholar 

  18. Y. Ma, X. Hong, S. Dong, C. Cheng, 3D CBL: an efficient algorithm for general 3D packing problems, in 48th Midwest Symposium on Circuits and Systems, 2005 (IEEE, Covington, 2005), pp. 1079–1082

    Google Scholar 

  19. J. Meng, K. Kawakami, A.K. Coskun, Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints, in Proceedings of the 49th Annual Design Automation Conference, DAC ’12 (ACM, New York, 2012), pp. 648–655

    Google Scholar 

  20. J.-H. Park, A. Shakouri, S.-M. Kang, Fast thermal analysis of vertically integrated circuits (3D ICs) using power blurring method, in InterPACK, vol. 9 (2009), pp. 19–23

    Google Scholar 

  21. A. Sridhar, A. Vincenzi, M. Ruggiero, T. Brunschwiler, D. Atienza, 3D-ICE: fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling, in Proceedings of the International Conference on Computer-Aided Design, ICCAD ’10 (IEEE, Piscataway, 2010), pp. 463–470

    Google Scholar 

  22. B. Tonpheng, J. Yu, O. Andersson, Thermal conductivity, heat capacity, and cross-linking of polyisoprene/single-wall carbon nanotube composites under high pressure. Macromolecules 42(23), 9295–9301 (2009)

    Article  Google Scholar 

  23. E. Wong, S.K. Lim, 3D floorplanning with thermal vias, in Proceedings of Design, Automation and Test in Europe, 2006. DATE’06 (IEEE, Munich, 2006), pp. 1–6

    Google Scholar 

Download references

Acknowledgements

The authors would like to thank Mubadala Technology, Abu Dhabi, United Arab Emirates for funding support of the TwinLab collaboration between Masdar Institute, UAE, and TU Dresden, Germany, Ref. 372/002/6754/102d/146/64947.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ibrahim (Abe) M. Elfadel .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Budhathoki, P., Knechtel, J., Henschel, A., Elfadel, I.(.M. (2016). Integrating 3D Floorplanning and Optimization of Thermal Through-Silicon Vias. In: Elfadel, I., Fettweis, G. (eds) 3D Stacked Chips. Springer, Cham. https://doi.org/10.1007/978-3-319-20481-9_10

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-20481-9_10

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-20480-2

  • Online ISBN: 978-3-319-20481-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics