Skip to main content

Design for Manufacturability with E-Beam Lithography

  • Chapter
  • 1228 Accesses

Abstract

As the minimum feature size continues to scale to sub-22 nm, conventional 193 nm optical photolithography technology is reaching its printability limit. In the near future, multiple patterning lithography (MPL) has become one of the viable lithography techniques for 22 nm and 14 nm logic nodes [1–4]. In the long run, i.e., for the logic nodes beyond 14 nm, extreme ultra violet (EUV), directed self-assembly (DSA), and electric beam lithography (EBL) are promising candidates as next generation lithography technologies [5]. Currently, both EUV and DSA suffer from some technical barriers: EUV technique is delayed due to tremendous technical issues such as lack of power sources, resists, and defect-free masks [6, 7]; DSA has the potential only to generate contact or via layers [8].

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Kahng, A.B., Park, C.-H., Xu, X., Yao, H.: Layout decomposition for double patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 465–472 (2008)

    Google Scholar 

  2. Zhang, H., Du, Y., Wong, M.D., Topaloglu, R.: Self-aligned double patterning decomposition for overlay minimization and hot spot detection. In: ACM/IEEE Design Automation Conference (DAC), pp. 71–76 (2011)

    Google Scholar 

  3. Yu, B., Yuan, K., Zhang, B., Ding, D., Pan, D.Z.: Layout decomposition for triple patterning lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1–8 (2011)

    Google Scholar 

  4. Yu, B., Pan, D.Z.: Layout decomposition for quadruple patterning lithography and beyond. In: ACM/IEEE Design Automation Conference (DAC), pp. 53:1–53:6 (2014)

    Google Scholar 

  5. Pan, D.Z., Yu, B., Gao, J.-R.: Design for manufacturing with emerging nanolithography. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(10), 1453–1472 (2013)

    Article  Google Scholar 

  6. Arisawa, Y., Aoyama, H., Uno, T., Tanaka, T.: EUV flare correction for the half-pitch 22nm node. In: Proceedings of SPIE, vol. 7636 (2010)

    Google Scholar 

  7. Zhang, H., Du, Y., Wong, M.D.F., Deng, Y., Mangat, P.: Layout small-angle rotation and shift for EUV defect mitigation. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 43–49 (2012)

    Google Scholar 

  8. Chang, L.-W., Bao, X., Chris, B., Philip Wong, H.-S.: Experimental demonstration of aperiodic patterns of directed self-assembly by block copolymer lithography for random logic circuit layout. In: IEEE International Electron Devices Meeting (IEDM), pp. 33.2.1–33.2.4 (2010)

    Google Scholar 

  9. Pfeiffer, H.C.: New prospects for electron beams as tools for semiconductor lithography. In: Proceedings of SPIE, vol. 7378 (2009)

    Google Scholar 

  10. Fujimura, A.: Design for e-beam: design insights for direct-write maskless lithography. In: Proceedings of SPIE, vol. 7823 (2010)

    Google Scholar 

  11. Maruyama, T., Takakuwa, M., Kojima, Y., Takahashi, Y., Yamada, K., Kon, J., Miyajima, M., Shimizu, A., Machida, Y., Hoshino, H., Takita, H., Sugatani, S., Tsuchikawa, H.: EBDW technology for EB shuttle at 65nm node and beyond. In: Proceedings of SPIE, vol. 6921 (2008)

    Google Scholar 

  12. Manakli, S., Komami, H., Takizawa, M., Mitsuhashi, T., Pain, L.: Cell projection use in mask-less lithography for 45nm & 32nm logic nodes. In: Proceedings of SPIE, vol. 7271 (2009)

    Google Scholar 

  13. Du, Y., Zhang, H., Wong, M.D.F., Chao, K.-Y.: Hybrid lithography optimization with e-beam and immersion processes for 16nm 1D gridded design. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 707–712 (2012)

    Google Scholar 

  14. Gao, J.-R., Yu, B., Pan, D.Z.: Self-aligned double patterning layout decomposition with complementary e-beam lithography. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 143–148 (2014)

    Google Scholar 

  15. Ding, Y., Chu, C., Mak, W.-K.: Throughput optimization for SADP and e-beam based manufacturing of 1D layout. In: ACM/IEEE Design Automation Conference (DAC), pp. 51:1–51:6 (2014)

    Google Scholar 

  16. Yang, Y., Luk, W.-S., Zhou, H., Yan, C., Zeng, X., Zhou, D.: Layout decomposition co-optimization for hybrid e-beam and multiple patterning lithography. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 652–657 (2015)

    Google Scholar 

  17. Fang, S.-Y., Liu, I.-J., Chang, Y.-W.: Stitch-aware routing for multiple e-beam lithography. In: ACM/IEEE Design Automation Conference (DAC), pp. 25:1–25:6 (2013)

    Google Scholar 

  18. Babin, S., Kahng, A.B., Mandoiu, I.I., Muddu, S.: Resist heating dependence on subfield scheduling in 50kV electron beam maskmaking. In: Proceedings of SPIE, vol. 5130 (2003)

    Google Scholar 

  19. Fang, S.-Y., Chen, W.-Y., Chang, Y.-W.: Graph-based subfield scheduling for electron-beam photomask fabrication. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 32(2), 189–201 (2013)

    Article  Google Scholar 

  20. Kahng, A.B., Xu, X., Zelikovsky, A.: Fast yield-driven fracture for variable shaped-beam mask writing. In: Proceedings of SPIE, vol. 6283 (2006)

    Google Scholar 

  21. Ma, X., Jiang, S., Zakhor, A.: A cost-driven fracture heuristics to minimize sliver length. In: Proceedings of SPIE, vol. 7973 (2011)

    Google Scholar 

  22. Yu, B., Gao, J.-R., Pan, D.Z.: L-shape based layout fracturing for e-beam lithography. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 249–254 (2013)

    Google Scholar 

  23. Chan, T.B., Gupta, P., Han, K., Kagalwalla, A.A., Kahng, A.B., Sahouria, E.: Benchmarking of mask fracturing heuristics. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 246–253 (2014)

    Google Scholar 

  24. Fujino, T., Kajiya, Y., Yoshikawa, M.: Character-build standard-cell layout technique for high-throughput character-projection EB lithography. In: Proceedings of SPIE, vol. 5853 (2005)

    Google Scholar 

  25. Sugihara, M., Takata, T., Nakamura, K., Inanami, R., Hayashi, H., Kishimoto, K., Hasebe, T., Kawano, Y., Matsunaga, Y., Murakami, K., Okumurae, K.: Technology mapping technique for throughput enhancement of character projection equipment. In: Proceedings of SPIE, vol. 6151 (2007)

    Google Scholar 

  26. Sugihara, M., Takata, T., Nakamura, K., Inanami, R., Inanami, R., Hayashi, H., Kishimoto, K., Hasebe, T., Kawano, Y., Matsunaga, Y., Murakami, K., Okumura, K.: A character size optimization technique for throughput enhancement of character projection lithography. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2561–2564 (2006)

    Google Scholar 

  27. Du, P., Zhao, W., Weng, S.-H., Cheng, C.-K., Graham, R.: Character design and stamp algorithms for character projection electron-beam lithography. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 725–730 (2012)

    Google Scholar 

  28. Ikeno, R., Maruyama, T., Iizuka, T., Komatsu, S., Ikeda, M., Asada, K.: High-throughput electron beam direct writing of VIA layers by character projection using character sets based on one-dimensional VIA arrays with area-efficient stencil design. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 255–260 (2013)

    Google Scholar 

  29. Minh, H.P.D., Iizuka, T., Ikeda, M., Asada, K.: Shot minimization for throughput improvement of character projection electron beam direct writing. In: Proceedings of SPIE, vol. 6921 (2006)

    Google Scholar 

  30. Ikeno, R., Maruyama, T., Komatsu, S., Iizuka, T., Ikeda, M., Asada, K.: A structured routing architecture and its design methodology suitable for high-throughput electron beam direct writing with character projection. In: ACM International Symposium on Physical Design (ISPD), pp. 69–76 (2013)

    Google Scholar 

  31. Lee, S.H., Choi, J., Kim, H.B., Kim, B.G., Cho, H.-K.: The requirements for the future e-beam mask writer: statistical analysis of pattern accuracy. In: Proceedings of SPIE, vol. 8166 (2011)

    Google Scholar 

  32. Sahouria, E., Bowhill, A.: Generalization of shot definition for variable shaped e-beam machines for write time reduction. In: Proceedings of SPIE, vol. 7823 (2010)

    Google Scholar 

  33. Elayat, A., Lin, T., Sahouria, E., Schulze, S.F.: Assessment and comparison of different approaches for mask write time reduction. In: Proceedings of SPIE, vol. 8166 (2011)

    Google Scholar 

  34. Yuan, K., Yu, B., Pan, D.Z.: E-beam lithography stencil planning and optimization with overlapped characters. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(2), 167–179 (2012)

    Article  Google Scholar 

  35. Kahng, A.B., Xu, X., Zelikovsky, A.: Yield-and cost-driven fracturing for variable shaped-beam mask writing. In: Proceedings of SPIE, vol. 5567 (2004)

    Google Scholar 

  36. Dillon, B., Norris, T.: Case study: the impact of VSB fracturing. In: Proceedings of SPIE, vol. 7028 (2008)

    Google Scholar 

  37. Jiang, S., Ma, X., Zakhor, A.: A recursive cost-based approach to fracturing. In: Proceedings of SPIE, vol. 7973 (2011)

    Google Scholar 

  38. Edelsbrunner, H., O’Rourke, J., Welzl, E.: Stationing guards in rectilinear art galleries. Comput. Vis. Graph. Image Process. 28, 167–176 (1984)

    Article  Google Scholar 

  39. Lopez, M.A., Mehta, D.P.: Efficient decomposition of polygons into L-shapes with application to VLSI layouts. ACM Trans. Des. Autom. Electron. Syst. 1(3), 371–395 (1996)

    Article  Google Scholar 

  40. O’Rourke, J.: An alternate proof of the rectilinear art gallery theorem. J. Geom. 21, 118–130 (1983)

    Article  MATH  MathSciNet  Google Scholar 

  41. Galil, Z.: Efficient algorithms for finding maximum matching in graphs. ACM Comput. Surv. 18(1), 23–38 (1986)

    Article  MATH  MathSciNet  Google Scholar 

  42. Mehlhorn, K., Naher, S.: LEDA: A Platform for Combinatorial and Geometric Computing. Cambridge University Press, Cambridge (1999)

    MATH  Google Scholar 

  43. Guiney, M., Leavitt, E.: An introduction to OpenAccess: an open source data model and API for IC design. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 434–436 (2006)

    Google Scholar 

  44. Yasuda, H., Haraguchi, T., Yamada, A.: A proposal for an MCC (multi-column cell with lotus root lens) system to be used as a mask-making e-beam tool. In: Proceedings of SPIE, vol. 5567 (2004)

    Google Scholar 

  45. Maruyama, T., Machida, Y., Sugatani, S., Takita, H., Hoshino, H., Hino, T., Ito, M., Yamada, A., Iizuka, T., Komatsue, S., Ikeda, M., Asada, K.: CP element based design for 14nm node EBDW high volume manufacturing. In: Proceedings of SPIE, vol. 8323 (2012)

    Google Scholar 

  46. Shoji, M., Inoue, T., Yamabe, M.: Extraction and utilization of the repeating patterns for CP writing in mask making. In: Proceedings of SPIE, vol. 7748 (2010)

    Google Scholar 

  47. Sugihara, M., Takata, T., Nakamura, K., Inanami, R., Hayashi, H., Kishimoto, K., Hasebe, T., Kawano, Y., Matsunaga, Y., Murakami, K., Okumura, K.: Cell library development methodology for throughput enhancement of character projection equipment. IEICE Trans. Electron. E89-C, 377–383 (2006)

    Article  Google Scholar 

  48. Kuang, J., Young, E.F.: A highly-efficient row-structure stencil planning approach for e-beam lithography with overlapped characters. In: ACM International Symposium on Physical Design (ISPD), pp. 109–116 (2014)

    Google Scholar 

  49. Guo, D., Du, Y., Wong, M.D.: Polynomial time optimal algorithm for stencil row planning in e-beam lithography. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 658–664 (2015)

    Google Scholar 

  50. Chu, C., Mak, W.-K.: Flexible packed stencil design with multiple shaping apertures for e-beam lithography. In: IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), pp. 137–142 (2014)

    Google Scholar 

  51. Mak, W.-K., Chu, C.: E-beam lithography character and stencil co-optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(5), 741–751 (2014)

    Article  Google Scholar 

  52. Yu, B., Yuan, K., Gao, J.-R., Pan, D.Z.: E-BLOW: e-beam lithography overlapping aware stencil planning for MCC system. In: ACM/IEEE Design Automation Conference (DAC), pp. 70:1–70:7 (2013)

    Google Scholar 

  53. Kuang, J., Young, E.F.: Overlapping-aware throughput-driven stencil planning for e-beam lithography. In: IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 254–261 (2014)

    Google Scholar 

  54. Arora, S., Barak, B.: Computational Complexity: A Modern Approach. Cambridge University Press, Cambridge (2009)

    Book  Google Scholar 

  55. Martello, S., Toth, P.: Knapsack Problems: Algorithms and Computer Implementations. Wiley, New York (1990)

    MATH  Google Scholar 

  56. Dawande, M., Kalagnanam, J., Keskinocak, P., Salman, F., Ravi, R.: Approximation algorithms for the multiple knapsack problem with assignment restrictions. J. Comb. Optim. 4, 171–186 (2000)

    Article  MATH  MathSciNet  Google Scholar 

  57. Johnson, E.L., Nemhauser, G.L., Savelsbergh, M.W.: Progress in linear programming-based algorithms for integer programming: an exposition. INFORMS J. Comput. 12(1), 2–23 (2000)

    Article  MATH  MathSciNet  Google Scholar 

  58. Sutanthavibul, S., Shragowitz, E., Rosen, J.: An analytical approach to floorplan design and optimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(6), 761–769 (1991)

    Article  Google Scholar 

  59. Karypis, G., Aggarwal, R., Kumar, V., Shekhar, S.: Multilevel hypergraph partitioning: application in VLSI domain. In: ACM/IEEE Design Automation Conference (DAC), pp. 526–529 (1997)

    Google Scholar 

  60. Nam, G.-J., Reda, S., Alpert, C., Villarrubia, P., Kahng, A.: A fast hierarchical quadratic placement algorithm. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(4), 678–691 (2006)

    Article  Google Scholar 

  61. Yan, J.Z., Chu, C., Mak, W.-K.: SafeChoice: a novel clustering algorithm for wirelength-driven placement. In: ACM International Symposium on Physical Design (ISPD), pp. 185–192 (2010)

    Google Scholar 

  62. Bentley, J.L.: Multidimensional binary search trees used for associative searching. Commun. ACM 18, 509–517 (1975)

    Article  MATH  Google Scholar 

  63. Adya, S.N., Markov, I.L.: Fixed-outline floorplanning: enabling hierarchical design. IEEE Trans. Very Large Scale Integr. Syst. 11(6), 1120–1135 (2003)

    Article  Google Scholar 

  64. Murata, H., Fujiyoshi, K., Nakatake, S., Kajitani, Y.: VLSI module placement based on rectangle-packing by the sequence-pair. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12, 1518–1524 (1996)

    Article  Google Scholar 

  65. Gurobi Optimization Inc.: Gurobi optimizer reference manual. http://www.gurobi.com (2014)

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2016 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Yu, B., Pan, D.Z. (2016). Design for Manufacturability with E-Beam Lithography. In: Design for Manufacturability with Advanced Lithography. Springer, Cham. https://doi.org/10.1007/978-3-319-20385-0_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-20385-0_5

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-20384-3

  • Online ISBN: 978-3-319-20385-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics