Skip to main content

Trends in 3D Integrated Circuit (3D-IC) Testing Technology

  • Chapter
  • First Online:
Three-Dimensional Integration of Semiconductors

Abstract

Since the 3D integrated circuit (3D-IC) consists of several dies that are connected by the huge number of through-silicon vias (TSVs), the yield of a 3D-IC makes an impact on the chip cost. Therefore, development of testing technology for 3D-IC becomes essential for reducing the manufacturing cost of 3D-IC. In this chapter, we describe the testing technologies for 3D-IC. In Sect. 8.1, we marshal the issues that must be handled in the 3D-IC testing. From Sects. 8.2 to 8.4, we introduce the outlining of the proposed 3D-IC testing technologies in so far. From Sects. 8.5 to 8.7, we provide the 3D-IC testing technologies that are proposed by our research group in Japan.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Noia B, Chakrabarty K (2013) Pre-bond probing of through-silicon vias in 3-D stacked ICs. IEEE Trans Comput Aided Des Integr Circuits Syst 32(4):547–558

    Article  Google Scholar 

  2. Noia B, Panth S, Chakrabarty K, Lim SK (2012) Scan test of die logic in 3D ICs using TSV probing. In: Proceedings of IEEE international test conference (ITC), pp 1–8

    Google Scholar 

  3. Chen PY, Wu CW, Kwai DM (2010) On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding. In: Proceedings of IEEE VLSI test symposium (VTS), pp 263–268

    Google Scholar 

  4. Cho MK, Liu C, Kim DH, Lim SK, Mukhopadhyay S (2010) Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system. In: Proceedings of IEEE/ACM international conference on computer-aided design (ICCAD), pp 694–697

    Google Scholar 

  5. Cho MK, Liu C, Kim DH, Lim SK, Mukhopadhyay S (2011) Pre-bond and post-bond test and signal recovery structure to characterize and repair TSV defect induced signal degradation in 3-D system. IEEE Trans Compon Packag Manuf Technol 1(11):1718–1727

    Article  Google Scholar 

  6. Huang LR, Huang SY, Sunter S, Tsai KH, Cheng WT (2013) Oscillation-based pre-bond TSV test. IEEE Trans Comput Aided Des Integr Circuits Syst 32(9):1440–1444

    Article  Google Scholar 

  7. Deutsch S, Chakrabarty K (2013) Non-invasive pre-bond TSV test using ring oscillators and multiple voltage levels. In: Proceedings of design, automation & test in Europe conference & exhibition (DATE), pp 1065–1070

    Google Scholar 

  8. Deutsch S, Chakrabarty K (2013) Contactless pre-bond TSV test and diagnosis using ring oscillators and multiple voltage levels. IEEE Trans Comput Aided Des Integr Circuits Syst 33(5):774–785

    Article  Google Scholar 

  9. You JW, Huang SY, Kwai DM, Chou YF, Wu CW (2010) Performance characterization of TSV in 3D IC via sensitivity analysis. In: Proceedings of 19th IEEE Asian test symposium (ATS), pp 389–394

    Google Scholar 

  10. Kandalaft N, Rashidzadeh R, Ahmadi M (2013) Testing 3-D IC through-silicon-vias (TSVs) by direct probing. IEEE Trans Comput Aided Des Integr Circuits Syst 32(4):538–546

    Article  Google Scholar 

  11. Marinissen EJ, Verbree J, Konijnenburg M (2010) A structured and scalable test access architecture for TSV-based 3D stacked ICs. In: Proceedings of IEEE VLSI test symposium (VTS), pp 269–274

    Google Scholar 

  12. Marinissen EJ, Chi CC, Verbree J, Konijnenburg M (2010) 3D DfT architecture for pre-bond and post-bond testing. In: Proceedings of IEEE international 3D systems integration conference (3DIC), pp 1–8

    Google Scholar 

  13. Noia B, Goel SK, Chakrabarty K, Marinissen EJ, Verbree J (2010) Test-architecture optimization for TSV-based 3D stacked ICs. In: Proceedings of IEEE European test symposium (ETS), pp 24–29

    Google Scholar 

  14. Noia B, Chakrabarty K, Marinissen EJ (2010) Optimization methods for post-bond die-internal/external testing in 3D stacked ICs. In: Proceedings of IEEE international test conference (ITC), pp 1–9

    Google Scholar 

  15. Wu XX, Chen YB, Chakrabarty K, Xie Y (2008) Test-access solutions for three-dimensional SOCs. In: Proceedings of IEEE international test conference (ITC), p 1

    Google Scholar 

  16. Giri C, Roy SK, Banerjee B, Rahaman H (2009) Scan chain design targeting dual power and delay optimization for 3D integrated circuit. In: Proceedings of international conference on advances in computing, control and telecommunication technologies (ACT), pp 845–849

    Google Scholar 

  17. Marinissen EJ (2010) Testing TSV-based three-dimensional stacked ICs. In: Proceedings of design automation and test in Europe, pp 1689–1694

    Google Scholar 

  18. Deutsch S, Chickermane V, Keller B, Mukherjee S, Konjinenburg E, Marinissen EJ, Goel SK (2011) Automation of 3D-DfT insertion. In: Proceedings of IEEE Asian test symposium, pp 395–400

    Google Scholar 

  19. Noia B, Chakrabarty K, Goel SK, Marinissen EJ (2011) Test-architecture optimization and test scheduling for TSV-based 3-D stacked ICs. IEEE Trans Comput Aided Des Integr Circuits Syst 30(11):1705–1718

    Article  Google Scholar 

  20. Deutsch S, Chakrabarty K, Marinissen EJ (2013) Uncertainty-aware robust optimization of test-access architectures for 3D stacked ICs. In: Proceedings of IEEE international test conference (ITC), pp 1–10

    Google Scholar 

  21. Agrawal M, Chakrabarty K (2013) Test-cost optimization and test-flow selection for 3D-stacked ICs. In: Proceedings of IEEE VLSI test symposium (VTS), pp 1–6

    Google Scholar 

  22. Rawat I, Gupta MK, Singh V (2013) Scheduling tests for 3D SoCs with temperature constraints. In: Proceedings of east-west design & test symposium, pp 1–4

    Google Scholar 

  23. Marinissen EJ (2012) Challenges and emerging solutions in testing TSV-based 2.5D- and 3D-stacked ICs. In: Proceedings of design, automation & test in Europe conference & exhibition, pp 1277–1282

    Google Scholar 

  24. Wang SJ, Chen YS, Li KSM (2013) Low-cost testing of TSVs in 3D stacks with pre-bond testable dies. In: Proceedings of IEEE international symposium on VLSI design, automation and test, pp 1–4

    Google Scholar 

  25. Liao CC, Chen AW, Lin LY, Wen CH (2013) Fast scan-chain ordering for 3-D-IC designs under through-silicon-via (TSV) constraints. IEEE Trans VLSI Syst 21(6):1170–1174

    Article  Google Scholar 

  26. Yeh TH, Wang SJ, Li KSM (2011) Interconnect test for core-based designs with known circuit characteristics and test patterns. In: Proceedings of international conference on IC design & technology, pp 1–4

    Google Scholar 

  27. Panth S, Lim SK (2012) Transition delay fault testing of 3D ICs with IR-drop study. In: Proceedings of IEEE 30th VLSI test symposium (VTS), pp 270–275

    Google Scholar 

  28. Deutsch S, Chakrabarty K, Panth S, Lim SK (2012) TSV stress-aware ATPG for 3D stacked ICs. In: Proceedings of IEEE Asian test symposium, pp 31–36

    Google Scholar 

  29. Srinivasan S, Kundu S (2012) Functional test pattern generation for maximizing temperature in 3D IC Chip Stack. In: Proceedings of international symposium on quality electronic design, pp 109–116

    Google Scholar 

  30. Rajski J, Tyszer J (2013) Fault diagnosis of TSV-based interconnects in 3-D stacked designs. In: Proceedings of IEEE international test conference (ITC), pp 1–9

    Google Scholar 

  31. Shih CJ, Hsieh SA, Lu YC, Li JCM, Wu T, Chakrabarty K (2013) Test generation of path delay faults induced by defects in power TSV. In: Proceedings of IEEE Asian test symposium, pp 43–48

    Google Scholar 

  32. Lin YH, Huang SY, Tsai KH, Cheng WT, Sunter S, Chou YF, Kwai DM (2013) Parametric delay test of post-bond through-silicon vias in 3-D ICs via variable output thresholding analysis. IEEE Trans Comput Aided Des Integr Circuits Syst 32(5):737–747

    Article  Google Scholar 

  33. You JW, Huang SY, Lin YH, Tsai MH, Kwai DM, Chou YF, Wu CW (2013) In-situ method for TSV delay testing and characterization using input sensitivity analysis. IEEE Trans Very Larg Scale Integr (VLSI) Syst 21(3):443–453

    Article  Google Scholar 

  34. Gulbins M, Hopsch F, Schneider P, Straube B, Vermeiren W (2010) Developing digital test sequences for through-silicon vias within 3D structures. In: Proceedings of international 3D systems integration conference, pp 1–6

    Google Scholar 

  35. Noia B, Chakrabarty K (2013) Post-DfT-insertion retiming for delay recovery on inter-die paths in 3D ICs. In: Proceedings of IEEE VLSI test symposium (VTS), pp 1–6

    Google Scholar 

  36. Noia B, Chakrabarty K (2014) Retiming for delay recovery after DfT insertion on interdie paths in 3-D ICs. IEEE Trans Comput Aided Des Integr Circuits Syst 32(3):464–475

    Article  Google Scholar 

  37. Huang SY, Huang LR, Tsai KH, Cheng WT (2013) Delay testing and characterization of post-bond interposer wires in 2.5-D ICs. In: Proceedings of IEEE international test conference (ITC), pp 1–8

    Google Scholar 

  38. Li J, Ye FM, Xu Q, Chakrabarty K, Eklow B (2013) On effective and efficient in-field TSV repair for stacked 3D ICs. 50th ACM/EDAC/IEEE design automation conference (DAC), pp 1–6

    Google Scholar 

  39. Ye FM, Chakrabarty K (2012) TSV open defects in 3D integrated circuits: characterization, test, and optimal spare allocation. In: Proceedings of 49th ACM/EDAC/IEEE design automation conference (DAC), pp 1024–1030

    Google Scholar 

  40. Millican SK, Saluja KK (2014) A test partitioning technique for scheduling tests for thermally constrained 3D integrated circuits. In: Proceedings of IEEE international conference on VLSI design, pp 20–25

    Google Scholar 

  41. Millican SK, Saluja KK (2012) Linear programming formulations for thermal-aware test scheduling of 3D-stacked integrated circuits. In: Proceedings of IEEE Asian test symposium, pp 37–42

    Google Scholar 

  42. Sen Gupta B, Ingelsson U, Larsson E (2011) Scheduling tests for 3D stacked chips under power constraints. In: Proceedings of 6th IEEE international symposium on electronic design, test and application (DELTA), pp 72–77

    Google Scholar 

  43. Kameyama S, Baba M, Higami Y, Takahashi H (2014) Precision resistance measurement method of TSVs in a 3D-IC by analog boundary-scan. IEICE Trans Inf Syst J97-D(4):887–890 (in Japanese)

    Google Scholar 

  44. Kameyama S, Baba M, Higami Y, Takahashi H (2014) Accurate resistance measuring method for high density post-bond TSVs in 3D-IC with electrical probes. In: Proceedings of international conference on electronics packaging (ICEP2014), pp 117–121

    Google Scholar 

  45. Kameyama S, Baba M, Higami Y, Takahashi H (2014) Measuring method for TSV-based interconnect resistance in 3D-SIC by embedded analog boundary-scan circuit. Trans Jpn Inst Electron Packag 7(1):140–146

    Article  CAS  Google Scholar 

  46. Chung H, Ni CY, Tu CM, Chang YY, Haung YT, Chen WM, Lou BY, Tseng KF, Lee CY, Lwo BJ (2010) The advanced pattern designs with electrical test methodologies on through silicon via for CMOS image sensor. In: Proceedings of electronic components and technology conference (ECTC), pp 297–302

    Google Scholar 

  47. Stucchi M, Perry D, Katti G, Dehaene W (2010) Test structures for characterization of through silicon vias. In: Proceedings of IEEE international conference on microelectronic test structures (ICMTS), pp 130–134

    Google Scholar 

  48. IEEE Std. 1149.4-1999 (2000) IEEE standard for a mixed-signal test bus. ISBN: 0-7381-1755-2 SH94761, Mar.

    Google Scholar 

  49. IEEE Std. 1149.1™-2001 (R2008) (2001) IEEE standard test access port and boundary-scan architecture. ISBN: 0-7381-2944-5 SH94949, July

    Google Scholar 

  50. Parker KP, Kameyama S (2012) The boundary-scan handbook, 3rd edn. SEIZANSHA, Japan (Japanese Version, ISBN: 978-4-88359-303-3)

    Google Scholar 

  51. Yotsuyanagi H, Sakurai H, Hashizume M (2014) Delay line embedded in boundary scan for testing TSVs. IEEE international workshop on testing three-dimensional stacked integrated circuits (3D-TEST), Oct

    Google Scholar 

  52. Yotsuyanagi H, Makimoto H, Nimiya T, Hashizume M (2013) On detecting delay faults using time-to-digital converter embedded in boundary scan. IEICE Trans Inf Syst E96-D(9):1986–1993

    Article  Google Scholar 

  53. Parker KP (2003) The boundary scan handbook, 3rd edn. Kluwer Academic, Dordrecht (ISBN: 978-1-4615-0367-5)

    Book  Google Scholar 

  54. Nakamura M, Yotsuyanagi H, Hashizume M (2013) On fault detection method considering adjacent TSVs for a delay fault in TSV. IEICE Technical Report, NAID:110009728044 (in Japanese)

    Google Scholar 

  55. Kondo S, Yotsuyanagi H, Hashizume M (2011) Propagation delay analysis of a soft open defect inside a TSV. Trans Jpn Inst Electron Packag 4(1)119–126

    Article  Google Scholar 

  56. Datta R, Sebastine A, Raghunathan A, Carpenter G, Nowka K, Abraham JA (2010) On-chip delay measurement based response analysis for timing characterization. J Electron Test 26(6):599–619

    Article  Google Scholar 

  57. Konishi T, Yotsuyanagi H, Hashizume M (2012) A built-in test circuit for supply current testing of open defects at interconnects in 3D ICs. In: Proceedings of 4th Electronics System Integration Technologies Conference (ESTC), pp 1–6

    Google Scholar 

  58. Konishi T, Yotsuyanagi H, Hashizume M (2012) Supply current testing of open defects at interconnects in 3D ICs with IEEE 1149.1 architecture. In: Proceedings of IEEE international 3D systems integration conference, pp 8.2.1–8.2.6

    Google Scholar 

  59. Marinissen EJ, Zorian Y (2009) Testing 3D chips containing through-silicon vias. In: Proceedings of IEEE international test conference (ITC), pp 1–11

    Google Scholar 

  60. Marinissen EJ (2010) Challenges in testing TSV-based 3D stacked ICs: test flows, test contents, and test access. In: Proceedings of IEEE Asia pacific conference on circuits and systems, pp 544–547

    Google Scholar 

  61. Hashizume M, Kondo S, Yotsuyanagi H (2010) Possibility of logical error caused by open defects in TSVs. In: Proceedings of international technical conference on circuits, computers and communications, pp 907–910

    Google Scholar 

Download references

Acknowledgments

The authors would like to thank Mr. T. Konishi and Mr. S. Umezu, The University of Tokushima, for their experimental evaluation. This work was supported by VLSI Design and Education Center (VDEC), University of Tokyo, in collaboration with Synopsys, Inc, Cadence Design Systems, Inc, and Mentor Graphics, Inc. The IC chip in this study has been designed in the chip fabrication program of VDEC, University of Tokyo, in collaboration with Rohm Corporation and Toppan Printing Corporation.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hiroshi Takahashi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Takahashi, H. et al. (2015). Trends in 3D Integrated Circuit (3D-IC) Testing Technology. In: Kondo, K., Kada, M., Takahashi, K. (eds) Three-Dimensional Integration of Semiconductors. Springer, Cham. https://doi.org/10.1007/978-3-319-18675-7_8

Download citation

Publish with us

Policies and ethics