Skip to main content

A Timing Driven Cycle-Accurate Simulation for Coarse-Grained Reconfigurable Architectures

  • Conference paper
  • First Online:
Applied Reconfigurable Computing (ARC 2015)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 9040))

Included in the following conference series:

Abstract

Coarse-Grained Reconfigurable Architectures (CGRAs), which provide good trade-offs between performance, hardware/ energy efficiency and flexibility, as well as offer high degree of parallelism, are fast emerging as a competing platform for the high-performance and embedded applicationsĀ [3]. In this paper, we propose a timing driven approach for generating cycle-accurate high level simulator for CGRA. The simulator is generated from a high-level language describing the CGRA. Experimental results on different architectures and application kernels show that the proposed simulator is \(1.5\times \) to \(4\times \) faster than state-of-the-art RTL simulators.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Chattopadhyay, A., Meyr, H., Leupers, R.: LISA: A Uniform ADL for Embedded Processor Modelling, Implementation and Software Toolsuite Generation, chapter 5, pp. 95ā€“130. Morgan Kaufmann (June 2008)

    Google ScholarĀ 

  2. Fell, A., RƔkossy, Z., Chattopadhyay, A.: Force-directed scheduling for data flow graph mapping on coarse-grained reconfigurable architectures. In: Proc. of the Intl. Conf. on ReConFigurable Computing and FPGAs (ReConFig). IEEE (December 2014)

    Google ScholarĀ 

  3. Chattopadhyay, A.: Ingredients of adaptability: A survey of reconfigurable processors. VLSI Des., 10:10ā€“10:10 (January 2013)

    Google ScholarĀ 

  4. Chattopadhyay, A., Chen, X., Ishebabi, H., Leupers, R., Ascheid, G., Meyr, H.: High-level modelling and exploration of coarse-grained re-configurable architectures. In: Proc. of the Conf. on Design, Automation & Test in Europe (DATE) (2008)

    Google ScholarĀ 

  5. Chen, L., Mitra, T.: Graph minor approach for application mapping on CGRAs. ACM Trans. Reconfigurable Technol. Syst. 7(3) (2014)

    Google ScholarĀ 

  6. Chen, X., Minwegen, A., Hassan, Y., Kammler, D., Li, S., Kempf, T., Chattopadhyay, A., Ascheid, G.: Flexdet: Flexible, efficient multi-mode mimo detection using reconfigurable asip. In: IEEE FCCM (2012)

    Google ScholarĀ 

  7. Gao, L., Karuri, K., Kraemer, S., Leupers, R., Ascheid, G., Meyr, H.: Multiprocessor performance estimation using hybrid simulation. In: Proc. of the ACM/IEEE Design Automation Conference (DAC) (2008)

    Google ScholarĀ 

  8. Filho, T.S.W.R.J., Masekowsky, S.: CGADL: an architecture description language for coarse-grained reconfigurable arrays. In: IEEE Trans. on VLSI Systems (2009)

    Google ScholarĀ 

  9. Khawam, S., Nousias, I., Milward, M., Yi, Y., Muir, M., Arslan, T.: The reconfigurable instruction cell array. IEEE Trans. on VLSI Systems 16(1) (2008)

    Google ScholarĀ 

  10. Mei, B., Lambrechts, A., Mignolet, J.-Y., Verkest, D., Lauwereins: Architecture exploration for a reconfigurable architecture template. IEEE Design and Test 22(2), 99ā€“101 (2005)

    Google ScholarĀ 

  11. Menta. http://www.menta.fr/home.html

  12. Park, H., Fan, K., Mahlke, S.: Edge-centric modulo scheduling for coarse-grained reconfigurable architectures. In: Proc. of the 17th Intl. Con. on Parallel Architectures and Compilation Techniques (2008)

    Google ScholarĀ 

  13. Park, Y., Park, H., Mahlke, S.: CGRA express: Accelerating execution using dynamic operation fusion. In: Proc. of the Intl. Conf. on Compilers, Architecture, and Synthesis for Embedded Systems, pp. 271ā€“280 (2009)

    Google ScholarĀ 

  14. Patel, K., McGettrick, S., Bleakley, C.: Rapid functional modelling and simulation of coarse-grained reconfigurable array architectures. Elsevier Journal of Systems Architecture 57(2), 383ā€“391 (2011)

    ArticleĀ  Google ScholarĀ 

  15. Peyret, T., Corre, G., Thevenin, M., Martin, K., Coussy, P.: An automated design approach to map applications on cgras. In: Proc. of the 24th Edition of the Great Lakes Symposium on VLSI, GLSVLSI 2014, pp. 229ā€“230 (2014)

    Google ScholarĀ 

  16. Sharma, A., Ebeling, C., Hauck, S.: Architecture adaptive routability-driven placement for fpgas. In: ACM/SIGDA Symp. on FPGA (2005)

    Google ScholarĀ 

  17. VCS-MX. http://www.synopsys.com/tools/verification/functionalverification/pages/vcs.aspx

  18. Verilator. http://www.veripool.org/wiki/verilator

  19. Chen, X., Li, S., Schleifer, J., Coenen, T., Chattopadhyay, A., Ascheid, G., Noll, T.: High-level modeling and synthesis for embedded fpgas. In: Proc. of the Conf. on Design, Automation & Test in Europe (DATE), pp. 1565ā€“1570 March 2013

    Google ScholarĀ 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Anupam Chattopadhyay .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

Ā© 2015 Springer International Publishing Switzerland

About this paper

Cite this paper

Chattopadhyay, A., Chen, X. (2015). A Timing Driven Cycle-Accurate Simulation for Coarse-Grained Reconfigurable Architectures. In: Sano, K., Soudris, D., HĆ¼bner, M., Diniz, P. (eds) Applied Reconfigurable Computing. ARC 2015. Lecture Notes in Computer Science(), vol 9040. Springer, Cham. https://doi.org/10.1007/978-3-319-16214-0_24

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-16214-0_24

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-16213-3

  • Online ISBN: 978-3-319-16214-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics