Skip to main content

Abstract

Because NAND Flash possesses several advantages such as very high density, low cost, low power consumption, high programming and reading throughput, and compact form factor, it has been widely adopted as a necessary key component of most modern consumer electronics. Now it even penetrates into the enterprise applications, and it is expected that NAND Flash will continue to enjoy a brilliant market growth in the near future.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • 3D NAND flash panel discussion, hold by applied material technology, in IEDM 2013

    Google Scholar 

  • Ann K (2014) Flash technology – present and future, in applied materials symposium, Taiwan, March 2014

    Google Scholar 

  • Chang KP, Lue HT, Chen CP, Chen CF, Chen YR, Hsiao YH, Hsieh CC, Chen SH, Shih YH, Yang T, Chen KC, Hsieh KY, Hung CH, Lu CY (2012) An efficient memory architecture for 3D vertical gate (3DVG) NAND flash using plural island-gate SSL decoding and study of its program inhibit characteristics. International memory workshop (IMW), pp 25–28

    Google Scholar 

  • Chang KP, Lue HT, Chang CS, Yeh WW, Hsieh CC, Hsiao YH, Shih YH, Lu CY (2013) Study of hot-electron assisted programming for split-page 3D vertical gate (VG) NAND Flash. International memory workshop (IMW), pp 143–146

    Google Scholar 

  • Chen CP, Lue HT, Hsieh CC, Chang KP, Hsieh KY, Lu CY (2010) Study of fast initial charge loss and its impact on the programmed states Vt distribution of charge-trapping NAND Flash. International electron device meeting (IEDM), session 5–6, pp 118–121

    Google Scholar 

  • Chen SH, Lue HT, Shih YH, Chen CF, Hsu TH, Chen YR, Hsiao YH, Huang SC, Chang KP, Hsieh CC, Lee GR, Chuang ATH, Hu CW, Chiu CJ, Lin LY, Lee HJ, Tsai FN, Yang CC, Yang T, Hung CH, Lu CY (2012) A highly scalable 8-layer vertical gate 3D NAND with split-page bit line layout and efficient binary-sum MiLC (minimal incremental layer cost) staircase contacts. International electron device meeting (IEDM), session 2–3, pp 21–24

    Google Scholar 

  • Chen CP, Lue HT, Chang KP, Hsiao YH, Hsieh CC, Chen SH, Shih YH, Hsieh KY, Yang T, Chen KC, Lu CY (2012) A highly pitch scalable 3D vertical gate (VG) NAND Flash decoded by a novel self-aligned independently controlled double gate (IDG) string select transistor (SSL). Symposium on VLSI technology, session XXXX, pp 91–92

    Google Scholar 

  • Cho WS, Shim SI, Jang J, Cho HS, You BK, Son BK, Kim KH, Shim JJ, Park CM, Lim JS, Kim KH, Chung DW, Lim JY, Moon HC, Hwang SM, Lim HS, Kim HS, Choi J, Chung C (2010) Highly reliable vertical NAND technology with biconcave shaped storage layer and leakage controllable offset structure. VLSI symposia on technology, pp 173–174

    Google Scholar 

  • Choi ES, Park SK (2012) Device considerations for high-density and highly reliable 3D NAND Flash cell in near future. International electron device meeting (IEDM), session 9–4, pp 211–214

    Google Scholar 

  • Eitan B, Pavan P, Bloom I, Aloni E, Frommer A, Finzi D (2000) NROM: a novel localized trapping, 2-bit nonvolatile memory cell. IEEE Electron Device Lett 21:543–545

    Article  Google Scholar 

  • Fukuzumi Y, Katsumata R, Kito M, Kido M, Sato M, Tanaka H, Nagata Y, Matsuoka Y, Iwata Y, Aochi H, Nitayama A (2007) Optimal integration and characteristics of vertical array devices for ultra-high density, bit-cost scalable Flash memory. International electron device meeting (IEDM), pp 449–452

    Google Scholar 

  • Ho KC, Fang PC, Li HP, Michael Wang CY, Chang HC (2013) A 45nm 6b/cell charge-trapping Flash memory using LDPC-based ECC and drift-immune soft-sensing engine. ISSCC 12(7):222–223

    Google Scholar 

  • Hsiao YH, Lue HT, Chen WC, Chen CP, Chang KP, Shih YH, Tsui BY, Lu CY (2012) Modeling the variability caused by random grain boundary and trap-location induced asymmetrical read behavior for a tight-pitch vertical gate 3D NAND Flash memory using double-gate thin-film transistor (TFT) device. International electron device meeting (IEDM), session 26–7, pp 609–612

    Google Scholar 

  • Hsieh CC, Lue HT, Chang KP, Hsiao YH, Hsu TH, Chen CP, Chen YJ, Chen KF, Lo C, Han TT, Chen MS, Lu WP, Wang SY, Liao JH, Hong SP, Hsu FH, Yang SY, Chen KC, Hsieh KY, Lu CY (2010) A novel BE-SONOS NAND Flash using non-cut trapping layer with superb reliability. International electron device meeting (IEDM), session 5–5, pp 114–117

    Google Scholar 

  • Hsieh CC, Lue HT, Li YC, Chang KP, Lu HC, Li HP, Chen WC, Hsiao YH, Hung SN, Chen TW, Shih YH, Lu CY (2013) Study of the interference and disturb mechanisms of split-page 3D vertical gate (VG) NAND Flash and optimized programming algorithms for multi-level cell (MLC) storage. VLSI symposia on technology, session 11–3, pp 156–157

    Google Scholar 

  • Hsu TH, Lue HT, Hsieh CC, Lai EK, Lu CP, Hong SP, Wu MT, Hsu FH, Lien NZ, Hsieh JY, Yang LW, Yang T, Chen KC, Hsieh KY, Liu R, Lu CY (2009) Study of sub-30 nm thin film transistor (TFT) charge-trapping (CT) devices for 3D NAND flash application. International electron device meeting (IEDM), session 27–4, pp 629–632

    Google Scholar 

  • Hung CH, Lue HT, Chang KP, Chen CP, Hsiao YH, Chen SH, Shih YH, Hsieh KY, Yang M, Lee J, Wang SY, Yang T, Chen KC, Lu CY (2011) A highly scalable vertical gate (VG) 3D NAND Flash with robust program disturb immunity using a novel PN diode decoding structure. Symposium on VLSI technology, session 4B-1, pp 68–69

    Google Scholar 

  • Hung CH, Lue HT, Hung SN, Hsieh CC, Chang KP, Chen TW, Huang SL, Chen TS, Chang CS, Yeh WW, Hsiao YH, Chen CF, Huang SC, Chen YR, Lee GR, Hu CW, Chen SH, Chiu CJ, Shih YH, Lu CY (2012) Design innovations to optimize the 3D stackable vertical gate (VG) NAND Flash. International electron device meeting (IEDM), session 10–1, pp 227–230

    Google Scholar 

  • Ishiduki M, Fukuzumi Y, Katsumata R, Kito M, Kido M, Tanaka H, Komori Y, Nagata Y, Fujiwara T, Maeda T, Mikajiri Y, Oota S, Honda M, Iwata Y, Kirisawa R, Aochi H, Nitayama A (2009) Optimal device structure for pipe-shaped BiCS Flash memory for ultra high density storage device with excellent performance and reliability. International electron device meeting (IEDM), session 27–3, pp 625–628

    Google Scholar 

  • Jang J, Kim HS, Cho W, Cho H, Kim J, Shim SI, Jang Y, Jeong JH, Son BK, Kim DW, Kim K, Shim JJ, Lim JS, Kim KH, Yi SY, Lim JY, Chung D, Moon HC, Hwang S, Lee JW, Son YH, Chung UI, Lee WS (2009) Vertical cell array using TCAT (terabit cell array transistor) technology for ultra high density NAND Flash memory. Symposium on VLSI technology, pp 192–193

    Google Scholar 

  • Jeong MK, Joe SM, Seo CS, Han KR, Choi E, Park SK, Lee JH (2012) Analysis of random telegraph noise and low frequency noise properties in 3-D stacked NAND Flash memory with tube-type poly-Si channel structure. VLSI symposia on technology, session 5–6, pp 55–56

    Google Scholar 

  • Jung ES (2013) Ushering in the 3D NAND era with V-NAND. Flash memory summit (FMS)

    Google Scholar 

  • Jung SM, Jang J, Cho W, Cho H, Jeong J, Chang Y, Kim J, Rah Y, Son Y, Park J, Song MS, Kim KH, Lim JS, Kim K (2006) Three dimensionally stacked NAND Flash memory technology using stacking single crystal Si layers on ILD and TANOS structure for beyond 30 nm node. International electron device meeting (IEDM), session 2–3, pp 37–40

    Google Scholar 

  • Kang HJ, Jeong MK, Joe SM, Seo JH, Park SK, Jin SH, Park BG, Lee JH (2014) Effect of traps on transient bit-line current behavior in word-line stacked NAND Flash memory with poly-si body. VLSI symposia on technology, pp 28–29

    Google Scholar 

  • Katsumata R, Kito M, Fukuzumi Y, Kido M, Tanaka H, Komori Y, Ishiduki M, Matsunami J, Fujiwara T, Nagata Y, Zhang L, Iwata Y, Kirisawa R, Aochi H, Nitayama A (2009) Pipe-shaped BiCS Flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices. Symposium on VLSI technology, pp 136–137

    Google Scholar 

  • Kim J, Hong AJ, Kim SM, Song EB, Park JH, Han J, Choi S, Jang D, Moon JT, Wang KL (2009) Novel vertical-stacked-array-transistor (VSAT) for ultra-high-density and cost-effective NAND Flash memory devices and SSD (solid state drive). Symposium on VLSI technology, pp 186–187

    Google Scholar 

  • Kim W, Choi S, Sung J, Lee T, Park C, Ko H, Jung J, Yoo I, Park Y (2009) Multi-layered vertical gate NAND Flash overcoming stacking limit for terabit density storage. Symposium on VLSI technology, pp 188–189

    Google Scholar 

  • Komori Y, Kido M, Kito M, Katsumata R, Fukuzumi Y, Tanaka H, Nagata Y, Ishiduki M, Aochi H, Nitayama A (2008) Disturbless Flash memory due to high boost efficiency on BiCS structure and optimal memory film stack for ultra high density storage device. In: IEDM Technical Digest, Dec 2008, pp 851–854

    Google Scholar 

  • Lai EK, Lue HT, Hsiao YH, Hsieh JY, Lu CP, Wang SY, Yang LW, Yang T, Chen KC, Gong J, Hsieh KY, Liu R, Lu CY (2006) A multi-layer stackable thin-film transistor (TFT) NAND-type Flash memory. International electron device meeting (IEDM), session 2–4, pp 41–44

    Google Scholar 

  • Lai SC, Lue HT, Hsieh JY, Yang MJ, Chiou YK, Wu CW, Wu TB, Luo GL, Chien CH, Lai EK, Hsieh KY, Liu R, Lu CY (2007) A study on the erase and retention mechanisms for MONOS, MANOS, and BE-SONOS non-volatile memory devices. VLSI-TSA, pp 14–15

    Google Scholar 

  • Lee CH, Choi KI, Cho MK, Song YH, Park KC, Kim K (2003) A novel SONOS structure of SiO2/SiN/Al2O3 with TaN metal gate for multi-giga bit Flash memories. IEDM, pp 613–616

    Google Scholar 

  • Lee CH, Choi J, Y Park, Kang C, Choi BI, Kim H, Oh H, Lee WS (2008) Highly scalable NAND Flash memory with robust immunity to program disturbance using symmetric inversion-type source and drain structure. VLSI symposia on technology, session 12–2, pp 118–119

    Google Scholar 

  • Lisoni JG, Arreghini A, Congedo G, Luque MT, Tresonne IT, Huet K, Capogreco E, Liu L, Tan CL, Degraeve R, Van den bosch G, Van Houdt J (2014) Laser thermal anneal of polysilicon channel to boost 3D memory performance. VLSI symposia on technology, session 3–2, pp 24–25

    Google Scholar 

  • Lue HT (2014) 3D vertical gate NAND device & architecture. International memory workshop (IMW) short course

    Google Scholar 

  • Lue HT, Wang SY, Lai EK, Shih YH, Lai SC, Yang LW, Chen KC, Ku J, Hsieh KY, Liu R, Lu CY (2005) BE-SONOS: a bandgap engineered SONOS with excellent performance and reliability. International electron device meeting (IEDM), session 22–3, pp 555–558

    Google Scholar 

  • Lue HT, Shih YH, Hsieh KY, Liu R, Lu CY (2005) Novel soft erase and re-fill methods for a P+-poly gate nitride-trapping non-volatile memory device with excellent endurance and retention properties. International Reliability Physics Symposium (IRPS), pp 168–174

    Google Scholar 

  • Lue HT, Hsu TH, Hsiao YH, Hong SP, Wu MT, Hsu FH, Lien NZ, Wang SY, Hsieh JY, Yang LW, Yang T, Chen KC, Hsieh KY, Lu CY (2010) A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND Flash using junction-free buried channel BE-SONOS device. VLSI symposia on technology, session, pp 131–132

    Google Scholar 

  • Lue HT, Chen SH, Shih YH, Hsieh KY, Lu CY (2012) Overview of 3D NAND Flash and progress of vertical gate (VG) architecture. (Invited paper) D1.1. ICSICT

    Google Scholar 

  • Lue HT, Du PY, Chen WC, Yeh TH, Chang KP, Hsiao YH, Shih YH, Hung CH, Lu CY (2013) A novel dual-channel 3D NAND Flash featuring both N-channel and P-channel NAND characteristics for bit-alterable Flash memory and a new opportunity in sensing the stored charge in the WL space. International electron device meeting (IEDM), session 3–7, pp 80–83

    Google Scholar 

  • Lue HT, Chang KP, Chen CP, Yeh TH, Hsu TH, Du PY, Shih YH, Lu CY (2013) A novel bit alterable 3D NAND Flash using junction-free P-channel device with band-to-band tunneling induced hot-electron programming. VLSI symposia on technology, session 11–1, pp 152–153

    Google Scholar 

  • Lue HT, Lo R, Hsieh CC, Du PY, Chen CP, Hsu TH, Chang KP, Shih YH, Lu CY (2014) A novel double-trapping BE-SONOS charge-trapping NAND Flash device to overcome the erase saturation without using curvature-induced field enhancement effect or high-K (HK)/metal gate (MG) materials. In submission to IEDM 2014

    Google Scholar 

  • Lue HT, Yeh TH, Chang KP, Hsu TH, Shih YH, Lu CY (2014) A novel capacitive-coupled floating gate antenna protection design and its application to prevent in-process charging effects for 3D NAND Flash memory. VLSI symposia on technology, session 14–5, pp 158–159

    Google Scholar 

  • Maeda T, Itagaki K, Hishida T, Katsumata R, Kito M, Fukuzumi Y, Kido M, Tanaka H, Komori Y, Ishiduki M, Matsunami J, Fujiwara T, Aochi H, Iwata Y, Watanabe Y (2009) Multi-stacked 1G cell/layer pipe-shaped BiCS Flash memory. VLSI symposia on circuit, session 3–1, pp 22–23

    Google Scholar 

  • Noh Y, Ahn Y, Yoo H, Han B, Chung S, Shim K, Lee K, Kwak S, Shin S, Choi I, Nam S, Cho S, Sheen D, Pyi S, Choi J, Park S, Kim J, Lee S, Aritome S, Hong S, Park S (2012) A new metal control gate last process (MCGL process) for high performance DC-SF (dual control gate with surrounding floating gate) 3D NAND Flash memory. VLSI symposia on technology, session 3–1, pp 19–20

    Google Scholar 

  • Nowak E, Kim JH, Kwon HY, Kim YG, Sim JS, Lim SH, Sin Kim D, Lee KH, Park YK, Choi JH, Chung C (2012) Intrinsic fluctuations in vertical NAND Flash memories. VLSI symposia on technology, session 3–2, pp 21–22

    Google Scholar 

  • Park KT, Han JM, Kim D, Nam S, Choi K, Kim MS, Kwak P, Lee D, Choi YH, Kang KM, Choi MH, Kwak DH, Park HW, Shim SW, Yoon HJ, Kim D, Park SW, Lee K, Ko K, Shim DK, Ahn YL, Park J, Ryu J, Kim D, Yun K, Kwon J, Shin S, Youn D, Kim WT, Kim T, Kim SJ, Seo S, Kim HG, Byeon DS, Yang HJ, Kim M, Kim MS, Yeon J, Jang J, Kim HS, Lee W, Song D, Lee S, Kyung KH, Choi JH (2014) Three-dimensional 128 Gb MLC vertical NAND Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming. ISSCC, 19 May 2014

    Google Scholar 

  • Tanaka H, Kido M, Yahashi K, Oomura M, Katsumata R, Kito M, Fukuzumi Y, Sato M, Nagata Y, Matsuoka Y, Iwata Y, Aochi H, Nitayama A (2007) Bit cost scalable technology with punch and plug process for ultra high density flash memory. Symposium on VLSI technology, pp 14–15

    Google Scholar 

  • Whang SJ, Lee K, Shin DG, Kim BY, Kim MS, Bin JH, Han JH, Kim SJ, Lee BM, Jung YK, Cho SY, Shin CH, Yoo HS, Choi SM, Hong K, Aritome S, Park SK, Hong SJ (2010) Novel 3-dimensional dual control-gate with surrounding floating-gate (DC-SF) NAND Flash cell for 1Tb file storage application. IEDM, pp 668–671

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hang-Ting Lue .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Lue, HT. (2015). 3D NAND Flash Architectures. In: Dimitrakis, P. (eds) Charge-Trapping Non-Volatile Memories. Springer, Cham. https://doi.org/10.1007/978-3-319-15290-5_4

Download citation

Publish with us

Policies and ethics