Skip to main content

Checkers

  • Chapter
  • First Online:
  • 2547 Accesses

Abstract

This chapter introduces checkers—SystemVerilog units containing verification code. Checkers may combine assertions, covergroups, and modeling code in order to create verification IP. Checkers are more flexible than modules and interfaces since they allow a wider variety of types of their arguments, and since they may be instantiated in procedural code. This chapter covers checker declaration and instantiation, and explains their scheduling semantics.

Contradictions do not exist. Whenever you think you are facing a contradiction, check your premises. You will find that one of them is wrong.— Ayn Rand

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   99.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   179.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    Except arguments with local qualifier, see Sect. 16.2.

  2. 2.

    Not to be confused with passing events to modules. In case of modules it is possible to pass a variable of the type event. Here we pass the entire event expression, such as posedge clk by substitution.

  3. 3.

    More exactly, its usage is deprecated in checkers.

  4. 4.

    The LRM is not clear about placing immediate assertions in checkers. In any case it should be safe to place immediate assertions in action blocks of concurrent assertions.

  5. 5.

    In the SystemVerilog 2009 standard only general purpose always procedures have been allowed in checkers. But the SystemVerilog 2012 standard introduced specialized always procedures in checkers and the general purpose always procedure has been deprecated.

References

  1. IEEE Std. 1800–2012, IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language (2012)

    Google Scholar 

  2. Accellera. Accellera Standard Open Verification Library (OVL) V2.8 (2013)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Cerny, E., Dudani, S., Havlicek, J., Korchemny, D. (2015). Checkers. In: SVA: The Power of Assertions in SystemVerilog. Springer, Cham. https://doi.org/10.1007/978-3-319-07139-8_9

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-07139-8_9

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-07138-1

  • Online ISBN: 978-3-319-07139-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics