Skip to main content

OCP2XI Bridge: An OCP to AXI Protocol Bridge

  • Conference paper
Book cover Reconfigurable Computing: Architectures, Tools, and Applications (ARC 2014)

Abstract

The modern SOC design contains many IP cores with different communication protocols. Improving the bridging and signal translation between these protocols has become a critical factor for the performance of the whole system. In this paper we will address the bridging of two well defined protocols, the Advanced Extensible Interface (AXI) and the Open Core Protocol (OCP). This bridge supports pipelined and multiplied transactions from both AXI and OCP interface. In comparison to related work our bridge offers simpler implementation and handling while containing full protocol functionality. The bridge is implemented and verified in a modern SystemC regression environment with large functional coverage. FPGA emulation is done on Versatile Express board using the CPU board as a main emulation controller. The result shows that the bridge is covering full protocol functionality and that maximal FPGA frequency is acceptable for a wide range of applications.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. AMBA AHB interface, http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ddi0203f/I1054045.html

  2. Open Core Protocol International Partnership (OCP-IP), http://www.ocpip.org/

  3. AMBA Open Specifications, http://www.arm.com/products/system-ip/amba/amba-open-specifications.php

  4. Sonics Express, http://sonicsinc.com/products/

  5. Arteris FlexNoC Interconnect IP, http://www.arteris.com/

  6. MIPS-Imagination BusBridge3 Module, http://www.imgtec.com/mips/mips-busbridge3.asp

  7. Xilinx Platform studio, http://www.xilinx.com/ise/embedded/edk_ip.htm

  8. Zhonghai, W., Yizheng, Y., Jinxiang, W., Mingyan, Y.: Designing AHB/PCI bridge ASIC. In: Proceeding of the 4th International Conference on ASIC, pp. 578–580 (2001)

    Google Scholar 

  9. AMBA-AHB PCI Bridge IP Introductory Document PLDA Ltd., http://www.plda.com/

  10. ARM PrimeCell External Bus Interface (PL220), ARM, ARM DDI 0249B (2002), http://www.arm.com

  11. Song, J., Yi, H., Han, J., Park, S.: An efficient SoC test technique by reusing on/off-chip bus bridge. Journal IEEE Transactions on Circuits and Systems 56(3), 554–565 (2009)

    Article  MathSciNet  Google Scholar 

  12. Lin, C., Liang, H.: Bus-oriented DFT design for embedded cores. In: Proc. IEEE Asia-Pacific Conf. on Circuits and Systems, pp. 561–563 (2004)

    Google Scholar 

  13. Song, J., Min, P., Yi, H., Park, S.: Design of Test Access Mechanism for AMBA-Based System-on-a-Chip. In: IEEE VLSI Test Symmposium, pp. 375–380 (2007)

    Google Scholar 

  14. Xilinx data-width conversion Downsizer, http://www.xilinx.com/products/intellectual-property/axi_interconnect.htm

  15. AXI BRAM Controller, http://www.xilinx.com/products/intellectual-property/axi_bram_if_ctlr.htm

  16. SystemC, http://www.accellera.org/activities/committees/systemc-language/

  17. Versatile Express Product Family, http://www.arm.com/products/tools/development-boards/versatile-express/index.php

  18. ISE WebPACK Design Software, http://www.xilinx.com/products/design-tools/ise-design-suite/ise-webpack.htm

  19. Synplify Pro, http://www.synopsys.com/Tools/Implementation/FPGAImplementation/FPGASynthesis/Pages/SynplifyPro.aspx

  20. Vivado Design Suite, http://www.xilinx.com/products/design-tools/vivado/

  21. Example LogicTile Express 13MG design for a CoreTile Express A15x2, http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.dai0305a/index.html

  22. Xilinx Platform Studio, http://www.xilinx.com/tools/xps.htm

  23. Versatile Express Boot Monitor, http://infocenter.arm.com/help/topic/com.arm.doc.dui0465f/DUI0465F_boot_monitor_trm.pdf

  24. Xilinx ChipScope, http://www.xilinx.com/tools/cspro.htm

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this paper

Cite this paper

Panjkov, Z. et al. (2014). OCP2XI Bridge: An OCP to AXI Protocol Bridge. In: Goehringer, D., Santambrogio, M.D., Cardoso, J.M.P., Bertels, K. (eds) Reconfigurable Computing: Architectures, Tools, and Applications. ARC 2014. Lecture Notes in Computer Science, vol 8405. Springer, Cham. https://doi.org/10.1007/978-3-319-05960-0_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-05960-0_16

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-05959-4

  • Online ISBN: 978-3-319-05960-0

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics