Skip to main content

Hardware Reduction in Logic Circuits of Moore FSM

  • Chapter
  • First Online:
Synthesis and Optimization of FPGA-Based Systems

Part of the book series: Lecture Notes in Electrical Engineering ((LNEE,volume 294))

Abstract

The Chapter is devoted to the problems of optimization of Moore FSM logic circuits implemented with FPGAs. The general characteristic is given for methods of functional and structural decomposition. Distinctive features of FPGA are analyzed allowing the number of look-up table (LUT) elements in logic circuits of Moore FSMs to be decreased. The classification of optimization methods are given for Moore FSM including: (1) the transformation of state codes into codes of the classes of pseudoequivalent states (PES); (2) presentation of state codes as concatenations of codes of PES and collections of microoperations; (3) replacement of logical conditions (input variables of FSM) by additional variables. All discussed methods are illustrated by examples. The chapter is written together with PhD student Olena Hebda (University of Zielona Gora, Poland).

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Baranov SI (1994) Logic synthesis of control automata. Kluwer Academic Publishers, Boston

    Book  Google Scholar 

  2. De Micheli G (1994) Synthesis and optimization of digital circuits. McGraw-Hill, New York

    Google Scholar 

  3. Grout I (2008) Digital systems design with FPGAs and CPLDs. Elsevier, Oxford University Press, Inc, Amsterdam

    Google Scholar 

  4. Jenkins J (1995) Design with FPGAs and CPLDs. Prentice Hall, New York

    Google Scholar 

  5. Maxfield C (2004) The design warrior’s guide to FPGAs. Elsevier, Amsterdam

    Google Scholar 

  6. Zeidman B (2002) Designing with FPGAs and CPLDs. CMP Books, Lawrence

    Google Scholar 

  7. Maxfield C (2008) FPGAs: instant access. Elsevier, Oxford

    Google Scholar 

  8. Barkalov AA, Titarenko LA (2009) Synthesis of microprogrammed automata with customized and programmable VLSI. UNITEX, Donetsk (in Russian)

    Google Scholar 

  9. Baranov S, Sklyarov V (1986) Digital devices on programmable LSI with matrix structure. Radio i Swiaz, Moscow (in Russian)

    Google Scholar 

  10. Łuba T, Rawski M, Jachna Z (2002) Functional decomposition as a universal method for logic synthesis of digital circuits. In: Proceeding of IX international conference MIXDES’02, pp 285–290

    Google Scholar 

  11. Łuba T (1994) Multi-level logic synthesis based on decomposition. Microprocess Microsyst 18(8):429–437

    Article  Google Scholar 

  12. Łuba T, Selvaraj H (1995) A general approach to boolean functions decomposition and its application in fpga-based synthesis. VLSI Des 3(3):289–300

    Google Scholar 

  13. Kania D (2004) The logic synthesis for the PAL-based complex programmable logic devices. Zeszyty naukowe Politechniki Ślaskiej, Gliwice (in Polish)

    Google Scholar 

  14. Kania D (2011) Efficient technology mapping method for pal-based devices. In: Adamski M, Barkalov A, Wegrzyn M (eds) Design of digital systems and devices. Springer, Berlin, pp 145–163

    Chapter  Google Scholar 

  15. Kania D, Czerwinski R (2012) Area and speed oriented synthesis of FSMs for PAL-based CPLDs. Microprocess Microsyst 36(1):45–61

    Article  Google Scholar 

  16. Kania D, Milik A (2010) Logic synthesis based on decomposition for CPLDs. Microprocess Microsyst 34(1):28–38

    Google Scholar 

  17. Opara A, Kania D (2010) Decomposition-based logic synthesis for PAL-based CPLDs. Int J Appl Math Comput Sci 20(2):367–384

    Article  MATH  Google Scholar 

  18. Baranov S (2008) Logic and system design of digital systems. TUT Press, Tallinn

    Google Scholar 

  19. Barkalov A, Titarenko L (2009) Logic synthesis for FSM-based control units. Springer, Berlin

    Book  Google Scholar 

  20. Barkalov A, Barkalov A (2001) Optimization of logic circuit of Moore FSM with programmable LSI. Control Syst Mach 6:38–41 (in Russian)

    Google Scholar 

  21. Barkalov A, Barkalov A (2002) Synthesis of control units with transformation of objects. Control Syst Mach 6:41–44 (in Russian)

    Google Scholar 

  22. Barkalov A, Barkalov A (2005) Design of Mealy FSMs with transformation of object codes. Int J Appl Math Comput Sci 15(1):151–158

    MATH  MathSciNet  Google Scholar 

  23. Barkalov A, Titarenko L, Barkalov A (2012) Structural decomposition as a tool for the optimization of an FPGA-based implementation of a Mealy FSM. Cybern Syst Anal 48(2):313–323

    Article  Google Scholar 

  24. Solovjov V, Klimowicz A (2008) Logic design of digital systems on the base of programmable logic devices. Hot line-Telecom, Moscow (in Russian)

    Google Scholar 

  25. Solovjov VV (2001) Design of digital systems using the programmable logic integrated circuits. Hot line-Telecom, Moscow (in Russian)

    Google Scholar 

  26. Palagin A, Barkalov A, Usifov S, Shvets A (1992) Synthesis of microprogrammed automata with FPLDs. IC NAC Ukraine, Preprint 92:18–26 (in Russian)

    Google Scholar 

  27. Borowik G (2007) Finite state machine synthesis for FPGA structure with embedded memory blocks. PhD thesis, WUT, Warszawa (in Polish)

    Google Scholar 

  28. Rawski H, Tomaszewicz P, Borowski G, Luba T (2011) Logic synthesis method of digital circuits designed for implementation with embedded memory blocks on FPGAs. In: Wegrzyn M, Adamski M, Barkalov A (eds) Design of digital systems and devices. Springer, Berlin, pp 121–144

    Chapter  Google Scholar 

  29. Barkalov A, Titarenko L (2008) Logic synthesis for compositional microprogram control units. Springer, Berlin

    Book  MATH  Google Scholar 

  30. Barkalov AA (2002) Synthesis of control units with PLDs. Donetsk National Technical University, Donetsk (in Russian)

    Google Scholar 

  31. Achasova SN (1987) Algorithms of synthesis of automata on programmable arrays. Radio i Swiaz, Moscow (in Russian)

    Google Scholar 

  32. Barkalov A (1998) Principles of logic optimization for a Moore microprogrammed automaton. Cybern Syst Anal 34(1):54–61

    Article  MATH  Google Scholar 

  33. Yang S (1991) Logic synthesis and optimization benchmarks user guide. Technical report, Microelectronics center of North Carolina

    Google Scholar 

  34. Barkalov A, Titarenko L, Hebda O (2010) Matrix implementation of Moore FSM with expansion of coding space. Meas Autom Monit 56(7):694–696

    Google Scholar 

  35. Barkalov A, Titarenko L, Hebda O, Soldatov K (2009) Matrix implementation of Moore FSM with encoding of collections of microoperations. Radioelectron Inf 4:4–8

    Google Scholar 

  36. Barkalov A, Matvienko A, Tsololo S (2011) Optimization of logic circuit of Moore FSM with FPGAs. IC NAC Ukraine 10:22–29 (in Russian)

    Google Scholar 

  37. Barkalov A, Zelenjova I (2001) Optimization of logic circuit of control unit with replacement of variables. Control Syst Mach 1:75–78 (in Russian)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Valery Sklyarov .

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer International Publishing Switzerland

About this chapter

Cite this chapter

Sklyarov, V., Skliarova, I., Barkalov, A., Titarenko, L. (2014). Hardware Reduction in Logic Circuits of Moore FSM. In: Synthesis and Optimization of FPGA-Based Systems. Lecture Notes in Electrical Engineering, vol 294. Springer, Cham. https://doi.org/10.1007/978-3-319-04708-9_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-04708-9_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-04707-2

  • Online ISBN: 978-3-319-04708-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics