Skip to main content

Growth Mechanism of Carbon Nanowalls

  • Chapter
  • First Online:
Carbon Nanowalls
  • 1036 Accesses

Abstract

In the case of film formation using plasma-enhanced chemical vapor deposition (CVD), high performance can be achieved by (1) the selective production of specific reactive species crucial for the film growth and nucleation, (2) the efficient transport of important species onto the growing surface, and (3) the control of surface reaction for both nucleation and subsequent growth. In the case of carbon nanostructure fabrication, it is important to elucidate the specific species such as carbon-containing radicals and hydrogen atoms that contribute to the growth and then determine the morphology of the nanostructures. Moreover, on the basis of the knowledge of the species, it is necessary to control the process plasma in order to obtain carbon nanostructures with structure and morphology customized for a specific application. Therefore, measurement of the radicals responsible for the formation of the carbon nanostructures is of great interest for practical applications. This chapter addresses issues on the growth mechanism of carbon nanowalls. Examples of radical density measurements in the plasma are described in the beginning. Then, the growth mechanisms of carbon nanowalls in the steady-state growth and nucleation stage are discussed. Furthermore, carbon nanowalls are synthesized using multi-beam CVD system consisting of ion, fluorocarbon radical, and H radical sources, and the role of ion bombardment for the nucleation of carbon nanowalls is discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Zhou D, Krauss AR, Corrigan TD, McCauley TG, Chang RPH, Gruen DM (1997) Microstructure and field emission of nanocrystalline diamond prepared from C60 precursors. J Electrochem Soc 144: L224–L228

    Article  CAS  Google Scholar 

  2. McCauley TG, Gruen DM, Krauss AR (1998) Temperature dependence of the growth rate for nanocrystalline diamond films deposited from an Ar/CH4 microwave plasma. Appl Phys Lett 73: 1646–1468

    Article  CAS  Google Scholar 

  3. Wu K, Wang EG, Cao ZX, Wang ZL, Jiang X (2000) Microstructure and its effect on field electron emission of grain-size-controlled nanocrystalline diamond films. J Appl Phys 88: 2967–2974

    Article  CAS  Google Scholar 

  4. Teii K, Shimada S, Nakashima M, Chuang ATH (2009) Synthesis and electrical characterization of n-type carbon nanowalls. J Appl Phys 106:084303-1–084303-6

    Google Scholar 

  5. Goyette AN, Matsuda Y, Anderson LW, Lawler JE (1998) C2 column densities in H2/Ar/CH4 microwave plasmas. J Vac Sci Technol A 16: 337–340

    Article  CAS  Google Scholar 

  6. Goyette AN, Lawler JE, Anderson LW, Gruen DM, McCauley TG, Zhou D, Krauss AR (1998) C2 Swan band emission intensity as a function of C2 density. Plasma Sourc Sci Technol 7: 149–153

    Article  CAS  Google Scholar 

  7. Shiomi T, Nagai H, Kato K, Hiramatsu M, Nawata M (2001) Detection of C2 radicals in low-pressure inductively coupled plasma source for diamond chemical vapor deposition. Diam Relat Mater 10: 388–392

    Article  CAS  Google Scholar 

  8. Hiramatsu M, Kato K, Lau CH, Foord JS, Hori M (2003) Measurement of C2 radical density in microwave methane/hydrogen plasma used for nanocrystalline diamond film formation. Diam Relat Mater 12: 365–368

    Article  CAS  Google Scholar 

  9. Hermann R (1990) The formation of emitting CF3 and CF2 radical states by pulsed electron beam excitation. Int J Radiat Appl Instrum C Radiat Phys Chem 36: 227–231

    CAS  Google Scholar 

  10. Takashima S, Hori M, Goto T, Kono A, Ito M, Yoneda K (1999) Vacuum ultraviolet absorption spectroscopy employing a microdiacharge hollow-cathode lamp for absolute density measurements of hydrogen atoms in reactive plasmas. Appl Phys Lett 75: 3929–3931

    Article  CAS  Google Scholar 

  11. Takashima S, Hori M, Goto T, Kono A, Yoneda K (2001) Absolute concentration and loss kinetics of hydrogen atom in methane and hydrogen plasmas. J Appl Phys 90: 5497–5503

    Article  CAS  Google Scholar 

  12. Sugai H, Toyoda H (1992) Appearance mass spectrometry of neutral radicals in radio frequency plasmas. J Vac Sci Technol A 10: 1193–1200

    Article  CAS  Google Scholar 

  13. Hiramatsu M, Hori M (2006) Fabrication of carbon nanowalls using novel plasma processing. Jpn J Appl Phys 45: 5522–5527

    Article  CAS  Google Scholar 

  14. Takeuchi W, Sasaki H, Kato S, Takashima S, Hiramatsu M, Hori M (2009) Development of measurement technique for carbon atoms employing vacuum ultraviolet absorption spectroscopy with a microdischarge hollow-cathode lamp and its application to diagnostics of nanographene sheet material formation plasmas. J Appl Phys 105: 113305-1–113305-6

    Google Scholar 

  15. Naito S, Ikeda M, Ito N, Hattori T, Goto T (1993) Effect of rare gas dilution on CH3 radical density in RF-discharge CH4 plasma. Jpn J Appl Phys 32: 5721–5725

    Article  CAS  Google Scholar 

  16. Wu YH, Qiao PW, Chong TC, Shen ZX (2002) Carbon nanowalls grown by microwave plasma enhanced chemical vapor deposition. Adv Mater 14: 64–67

    Article  CAS  Google Scholar 

  17. Tanaka K, Yoshimura M, Okamoto A, Ueda K (2005) Growth of carbon nanowalls on a SiO2 substrate by microwave plasma-enhanced chemical vapor deposition. Jpn J Appl Phys 44: 2074–2076

    Article  CAS  Google Scholar 

  18. Ando Y, Zhao X, Ohkohchi M (1997) Production of petal-like graphite sheets by hydrogen arc discharge. Carbon 35: 153–158

    Article  CAS  Google Scholar 

  19. Shang NG, Au FCK, Meng XM, Lee CS, Bello I, Lee ST (2002) Uniform carbon nanoflake films and their field emissions. Chem Phys Lett 358: 187–191

    Article  CAS  Google Scholar 

  20. Wang JJ, Zhu MY, Outlaw RA, Zhao X, Manos DM, Holloway BC, Mammana VP (2004) Free-standing subnanometer graphite sheets. Appl Phys Lett 85: 1265–1267

    Article  CAS  Google Scholar 

  21. Hori M, Goto T (2002) Measurement techniques of radicals, their gas phase and surface reactions in reactive plasma processing. Appl Surf Sci 192: 135–160

    Article  CAS  Google Scholar 

  22. Hori M, Goto T (2006) Progress of radical measurements in plasmas for semiconductor processing. Plasma Sourc Sci Technol 15: S74–S83

    Article  Google Scholar 

  23. d’Agostino R, Cramarossa F, Fracassi F, Illuzzi F (1990) Plasma deposition treatment and etching of polymers. In: d’Agostino R (ed) Academic, San Diego, 95–162

    Google Scholar 

  24. d’Agostino R, Cramarossa F, Colaprico V, d’Ettole R (1983) Mechanisms of etching and polymerization in radiofrequency discharges of CF4–H2, CF4–C2F4, C2F6–H2, C3F8–H2. J Appl Phys 54: 1284–1288

    Article  Google Scholar 

  25. Hiramatsu M, Shiji K, Amano H, Hori M (2004) Fabrication of vertically aligned carbon nanowalls using capacitively coupled plasma-enhanced chemical vapor deposition assisted by hydrogen radical injection. Appl Phys Lett 84: 4708–4710

    Article  CAS  Google Scholar 

  26. Hori M, Goto T (2007) Insights into sticking of radicals on surfaces for smart plasma nano-processing. Appl Surf Sci 253: 6657–6671

    Article  CAS  Google Scholar 

  27. Mori T, Hiramatsu M, Yamakawa K, Takeda K, Hori M (2008) Fabrication of carbon nanowalls using electron beam excited plasma-enhanced chemical vapor deposition. Diam Relat Mater 17: 1513–1517

    Article  CAS  Google Scholar 

  28. Kondo S, Kawai S, Takeuchi W, Yamakawa K, Den S, Kano H, Hiramatsu M, Hori M (2009) Initial growth process of carbon nanowalls synthesized by radical injection plasma-enhanced chemical vapor deposition. J Appl Phys 106:094302-1–094302-6

    Article  Google Scholar 

  29. Kondo S, Sasaki H, Kano H, Hiramatsu M, Hori M. Reactive ion etching properties of carbon nanowalls, to be published

    Google Scholar 

  30. Kondo S, Hori M, Yamakawa K, Den S, Kano H, Hiramatsu M (2008) Highly reliable growth process of carbon nanowalls using radical injection plasma-enhanced chemical vapor deposition. J Vac Sci Technol B 26: 1294–1300

    Article  CAS  Google Scholar 

  31. Hiramatsu M, Inayoshi M, Yamada K, Mizuno E, Nawata M, Ikeda M, Hori M, Goto T (1996) Hydrogen-radical-assisted radio-frequency plasma-enhanced chemical vapor deposition system for diamond formation. Rev Sci Instrum 67: 2360–2365

    Article  CAS  Google Scholar 

  32. Chuang ATH, Boskovic BO, Robertson J (2006) Freestanding carbon nanowalls by microwave plasma-enhanced chemical vapour deposition. Diam Relat Mater 15: 1103–1106

    Article  CAS  Google Scholar 

  33. Kobayashi K, Tanimura M, Nakai H, Yoshimura A, Yoshimura H, Kojima K, Tachibana M (2007) Nanographite domains in carbon nanowalls. J Appl Phys 101: 094306–1–094306-4

    Article  Google Scholar 

  34. Zhu M, Wang J, Outlaw RA, Hou K, Manos DM, Holloway BC (2007) Synthesis of carbon nanosheets and carbon nanotubes by radio frequency plasma enhanced chemical vapor deposition. Diam Relat Mater 16: 196–201

    Article  CAS  Google Scholar 

  35. Kondo S, Kondo H, Hiramatsu M, Sekine M, Hori M (2010) Critical factors for nucleation and vertical growth of two dimensional nano-graphene sheets employing a novel Ar+ beam with hydrogen and fluorocarbon radical injection. Appl Phys Exp 3: 045102-1–045102-3

    Google Scholar 

  36. Robertson J (2002) Diamond-like amorphous carbon. Mater Sci Eng 37: 129–281

    Article  Google Scholar 

  37. Tatsumi T, Hikosaka Y, Morishita S, Matsui M, Sekine M (1999) Etch rate control in a 27 MHz reactive ion etching system for ultralarge scale integrated circuit processing. J Vac Sci Technol A 17: 1562–1569

    Article  CAS  Google Scholar 

  38. Wu YH, Yang BJ, Han GC, Zong BY, Ni HQ, Luo P, Chong TC, Low TS, Shen ZX (2002) Fabrication of a class of nanostructured materials using carbon nanowalls as the templates. Adv Funct Mater 12: 489–494

    Article  CAS  Google Scholar 

  39. Takeuchi W, Mikuni H, Hiramatsu M, Kano H, Tokuda Y, Takeda K, Hori M. Fabrication of bridge-shaped carbon nanowall on trench substrates using electron beam excited plasma enhanced CVD, to be published

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mineo Hiramatsu .

Rights and permissions

Reprints and permissions

Copyright information

© 2010 Springer-Verlag /Wien

About this chapter

Cite this chapter

Hiramatsu, M., Hori, M. (2010). Growth Mechanism of Carbon Nanowalls. In: Carbon Nanowalls. Springer, Vienna. https://doi.org/10.1007/978-3-211-99718-5_5

Download citation

Publish with us

Policies and ethics