Skip to main content

Performance Evaluation of Thermal-Constrained Scheduling Strategies in Multi-core Systems

  • Conference paper
  • First Online:

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 12039))

Abstract

The increasing usage of multi-cores in safety-critical applications, such as autonomous control, demands high levels of reliability, which crucially depends on the temperature. On the other hand, there is a natural trade-off between reliability and performance. The scheduling of tasks is one of the key factors which determine the resulting system performance as well as reliability. Commonly used techniques, such as simulation based on benchmarks, can simulate only a limited number of input sequences of system runs and hardly optimize the performance-reliability trade-off. In order to accurately evaluate the schedulers and provide formal guarantees suitable in early design stages, we use formal methods for a quantitative performance-reliability trade-off analysis. Specifically, we propose to use energy-utility quantiles as a metric to evaluate the effectiveness of a given scheduler. For illustration, we evaluate TAPE, a state-of-the-art thermal-constrained scheduler, with theoretical optimal ones.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Baier, C., Daum, M., Dubslaff, C., Klein, J., Klüppelholz, S.: Energy-utility quantiles. In: Badger, J.M., Rozier, K.Y. (eds.) NFM 2014. LNCS, vol. 8430, pp. 285–299. Springer, Cham (2014). https://doi.org/10.1007/978-3-319-06200-6_24

    Chapter  Google Scholar 

  2. Baier, C., Katoen, J.P.: Principles of Model Checking. MIT Press, Cambridge (2008)

    MATH  Google Scholar 

  3. Bukhari, S.A.A., Lodhi, F.K., Hasan, O., Shafique, M., Henkel, J.: FAMe-TM: formal analysis methodology for task migration algorithms in many-core systems. Sci. Comput. Program. 133(2), 154–174 (2017). https://doi.org/10.1016/j.scico.2016.06.004

    Article  Google Scholar 

  4. Burmeister, L.C.: Convective Heat Transfer. Wiley, Hoboken (1993)

    Google Scholar 

  5. Dunn, D.: Intel delays Montecito in roadmap shakeup. EE Times, Manufacturing/Packaging (2005)

    Google Scholar 

  6. Ebi, T., Al Faruque, M.A., Henkel, J.: TAPE: thermal-aware agent-based power economy multi/many-core architectures. In: Computer-Aided Design, pp. 302–309. IEEE (2009). https://doi.org/10.1145/1687399.1687457

  7. Forejt, V., Kwiatkowska, M., Norman, G., Parker, D.: Automated verification techniques for probabilistic systems. In: Bernardo, M., Issarny, V. (eds.) SFM 2011. LNCS, vol. 6659, pp. 53–113. Springer, Heidelberg (2011). https://doi.org/10.1007/978-3-642-21455-4_3

    Chapter  Google Scholar 

  8. Gnad, D., Shafique, M., Kriebel, F., Rehman, S., Sun, D., Henkel, J.: Hayat: harnessing dark silicon and variability for aging deceleration and balancing. In: Design Automation Conference, pp. 1–6. ACM/EDAC/IEEE (2015). https://doi.org/10.1145/2744769.2744849

  9. Gunther, S.H., Binns, F., Carmean, D.M., Hall, J.C.: Managing the impact of increasing microprocessor power consumption. Intel Technol. J. 1–9 (2001)

    Google Scholar 

  10. Iqtedar, S., Hasan, O., Shafique, M., Henkel, J.: Formal probabilistic analysis of distributed dynamic thermal management. In: Design, Automation and Test in Europe, pp. 1221–1224. IEEE (2015). https://doi.org/10.7873/DATE.2015.0503

  11. Iqtedar, S., Hasan, O., Shafique, M., Henkel, J.: Probabilistic formal verification methodology for decentralized thermal management in on-chip systems. In: Enabling Technologies: Infrastructures for Collaborative Enterprises, pp. 210–215. IEEE (2015). https://doi.org/10.1109/WETICE.2015.39

  12. Ismail, M., Hasan, O., Ebi, T., Shafique, M., Henkel, J.: Formal verification of distributed dynamic thermal management. In: Computer-Aided Design, pp. 248–255. IEEE (2013). https://doi.org/10.1109/ICCAD.2013.6691126

  13. Klein, J., et al.: Advances in probabilistic model checking with PRISM: variable reordering, quantiles and weak deterministic Büchi automata. Int. J. Softw. Tools Technol. Transfer 20(2), 179–194 (2017). https://doi.org/10.1007/s10009-017-0456-3

    Article  Google Scholar 

  14. Kwiatkowska, M., Norman, G., Parker, D.: PRISM 4.0: verification of probabilistic real-time systems. In: Gopalakrishnan, G., Qadeer, S. (eds.) CAV 2011. LNCS, vol. 6806, pp. 585–591. Springer, Heidelberg (2011). https://doi.org/10.1007/978-3-642-22110-1_47

    Chapter  Google Scholar 

  15. Lee, Y., Chwa, H.S., Shin, K.G., Wang, S.: Thermal-aware resource management for embedded real-time systems. Comput.-Aided Des. Integr. Circuits Syst. 37(11), 2857–2868 (2018). https://doi.org/10.1109/TCAD.2018.2857279

    Article  Google Scholar 

  16. Liu, Z., Tan, S.X.D., Huang, X., Wang, H.: Task migrations for distributed thermal management considering transient effects. IEEE Trans. Very Large Scale Integr. Syst. 23(2), 397–401 (2015). https://doi.org/10.1109/TVLSI.2014.2309331

    Article  Google Scholar 

  17. Pagani, S., Chen, J., Shafique, M., Henkel, J.: Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores. Springer, Cham (2018). https://doi.org/10.1007/978-3-319-77479-4

    Book  Google Scholar 

  18. Pathania, A., Venkataramani, V., Shafique, M., Mitra, T., Henkel, J.: Defragmentation of tasks in many-core architecture. Archit. Code Optim. 14(1), 2:1–2:21 (2017). https://doi.org/10.1145/3050437

    Article  Google Scholar 

  19. Pedram, M., Nazarian, S.: Thermal modeling, analysis, and management in VLSI circuits: principles and methods. Proc. IEEE 94(8), 1487–1501 (2006). https://doi.org/10.1109/JPROC.2006.879797

    Article  Google Scholar 

  20. Remsburg, R.: Advanced Thermal Design of Electronic Equipment. Springer, Heidelberg (2011)

    Google Scholar 

  21. Sardar, M.U., Hasan, O., Shafique, M., Henkel, J.: Theorem proving based formal verification of distributed dynamic thermal management schemes. J. Parallel Distrib. Comput. 100, 157–171 (2017). https://doi.org/10.1016/j.jpdc.2016.06.011

    Article  Google Scholar 

  22. Sbalzarini, I.F.: Spatiotemporal modeling and simulation (2016)

    Google Scholar 

  23. Srinivasan, J., Adve, S.V., Bose, P., Rivers, J.A.: Lifetime reliability: toward an architectural solution. IEEE Micro 25(3), 70–80 (2005). https://doi.org/10.1109/MM.2005.54

    Article  Google Scholar 

  24. Stan, M.R., Skadron, K., Barcella, M., Huang, W., Sankaranarayanan, K., Velusamy, S.: HotSpot: a dynamic compact thermal model at the processor-architecture level. Microelectronics 34(12), 1153–1165 (2003). https://doi.org/10.1016/S0026-2692(03)00206-4

    Article  Google Scholar 

  25. Viswanath, R., Wakharkar, V., Watwe, A., Lebonheur, V.: Thermal performance challenges from silicon to systems. Intel Technol. J. 1–16 (2000)

    Google Scholar 

  26. Yeo, I., Liu, C.C., Kim, E.J.: Predictive dynamic thermal management for multicore systems. In: Design Automation Conference, pp. 734–739. ACM/IEEE (2008). https://doi.org/10.1145/1391469.1391658

Download references

Acknowledgments

We would like to thank Steffen Märcker for debugging memory related issues in the quantile implementation of PRISM.

Christel Baier, Clemens Dubslaff, and Sascha Klüppelholz are supported by the DFG through the Collaborative Research Centers CRC 912 (HAEC) and TRR 248 (see https://perspicuous-computing.science, project ID 389792660), the Clusters of Excellence EXC 2050/1 (CeTI, project ID 390696704) and EXC 1056 (cfAED) as part of Germany’s Excellence Strategy, and the Research Training Groups QuantLA (GRK 1763) and RoSI (GRK 1907). Akash Kumar is supported by the DFG through the Cluster of Excellence EXC 1056 (cfAED).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Muhammad Usama Sardar .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Sardar, M.U., Dubslaff, C., Klüppelholz, S., Baier, C., Kumar, A. (2020). Performance Evaluation of Thermal-Constrained Scheduling Strategies in Multi-core Systems. In: Gribaudo, M., Iacono, M., Phung-Duc, T., Razumchik, R. (eds) Computer Performance Engineering. EPEW 2019. Lecture Notes in Computer Science(), vol 12039. Springer, Cham. https://doi.org/10.1007/978-3-030-44411-2_9

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-44411-2_9

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-44410-5

  • Online ISBN: 978-3-030-44411-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics