Skip to main content

Abstract

The aggressive shrinking of devices, brought by new CMOS technology nodes, makes that any small process variations induce a larger impact on circuit devices behavior. Transistors having less than one hundred atoms per channel region or any minor variation in the dopant implantation may lead to increasing mismatch problems (Wirnshofer, Variation-Aware Adaptive Voltage Scaling for Digital CMOS Circuits, Springer, Dordrecht, 2013). Therefore, variability analysis has a critical role in order to predict the undesirable effects caused by process variations. Different techniques have been proposed to estimate the impact of variations in circuit performances at early stages of the analog design flow. In this chapter, the most relevant techniques in yield estimation are detailed. Also, several automatic analog IC sizing techniques considering variability effects are discussed. At the end of this chapter, the yield estimation and variation-aware techniques adopted by commercial EDA tools are presented.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. H.E. Graeb, Analog Design Centering and Sizing (Springer, Dordrecht, 2007)

    Google Scholar 

  2. A. Singhee, R.A. Rutenbar, Why quasi-Monte Carlo is better than Monte Carlo or Latin hypercube sampling for statistical circuit analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(11), 1763–1776 (2010)

    Article  Google Scholar 

  3. B. Liu, F.V. Fernandez, G.G.E. Gielen, Efficient and accurate statistical analog yield optimization and variation-aware circuit sizing based on computational intelligence techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(6), 793–805 (2011)

    Article  Google Scholar 

  4. Y.-C. Ho, An explanation of ordinal optimization: Soft computing for hard problems. Inf. Sci. 113(3–4), 169–192 (1999)

    Article  MathSciNet  Google Scholar 

  5. I. Guerra-Gomez, E. Tlelo-Cuautle, L.G. de la Fraga, OCBA in the yield optimization of analog integrated circuits by evolutionary algorithms, in 2015 IEEE Int. Symp. Circuits Syst. (ISCAS), Lisbon, 2015

    Google Scholar 

  6. K. Deb, R. Agrawal, Simulated binary crossover for continuous search space. Complex Syst. 9(2), 115–148 (1995)

    MathSciNet  MATH  Google Scholar 

  7. E. Afacan, G. Berkol, A.E. Pusane, G. Dündar, F. Başkaya, Adaptive sized Quasi-Monte Carlo based yield aware analog circuit optimization tool, in 2014 5th Eur. Workshop on CMOS Variability (VARI), Palma de Mallorca, 2014

    Google Scholar 

  8. E. Afacan, G. Berkol, A.E. Pusane, G. Dündar, F. Başkaya, A hybrid Quasi Monte Carlo method for yield aware analog circuit sizing tool, in 2015 Des. Automat. Test Eur. Conf. Exhibition (DATE), Grenoble, 2015

    Google Scholar 

  9. H. Niederreiter, Constructions of (t,m,s)-nets and (t,s)-sequences. Finite Fields Appl. 11(3), 578–600 (2005)

    Article  MathSciNet  Google Scholar 

  10. E. Afacan, G. Berkol, G. Dundar, A.E. Pusane, F. Baskaya, An analog circuit synthesis tool based on efficient and reliable yield estimation. Microelectron. J. 54, 14–22 (2016)

    Article  Google Scholar 

  11. M. Pak, F.V. Fernandez, G. Dundar, Comparison of QMC-based yield-aware pareto front techniques for multi-objective robust analog synthesis. Integration VLSI J. 55, 357–365 (2016)

    Article  Google Scholar 

  12. M. Qazi, M. Tikekar, L. Dolecek, D. Shah, A. Chandrakasan, Loop flattening & spherical sampling: highly efficient model reduction techniques for SRAM yield analysis, in 2010 Des. Autom. Test Eur. Conf. Exhibition (DATE), Dresden, 2010

    Google Scholar 

  13. E. Yilmaz, S. Ozev, Adaptive-learning-based importance sampling for analog circuit DPPM estimation. IEEE Des. Test 32(1), 36–43 (2015)

    Google Scholar 

  14. R. Kanj, R. Joshi, S. Nassif, Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events, in 2006 43rd ACM/IEEE Design Automat. Conf., San Francisco, CA, 2006

    Google Scholar 

  15. T. McConaghy, K. Breen, J. Dyck, A. Gupta, Variation-Aware Design of Custom Integrated Circuits: A Hands-on Field Guide (Springer, New York, 2013)

    Book  Google Scholar 

  16. J. Yao, Z. Ye, Y. Wang, Importance boundary sampling for SRAM yield analysis with multiple failure regions. IEEE Trans. Comput. Aided Des. Integr. Syst. 33(3), 384–396 (2014)

    Article  Google Scholar 

  17. M. Wang, C. Yan, X. Li, D. Zhou, X. Zeng, High-dimensional and multiple-failure-region importance sampling for SRAM yield analysis. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(3), 806–819 (2017)

    Article  Google Scholar 

  18. S. Sun, X. Li, H. Liu, K. Luo, B. Gu, Fast statistical analysis of rare circuit failure events via scaled-sigma sampling for high-dimensional variation space. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(7), 1096–1109 (2015)

    Article  Google Scholar 

  19. C.C. Kuo, W.Y. Hu, Y.H. Chen, J.F. Kuan, Y.K. Cheng, Efficient trimmed-sample Monte Carlo methodology and yield-aware design flow for analog circuits, in DAC Des. Autom. Conf., San Francisco, CA, 2012

    Google Scholar 

  20. O. Okobiah, S.P. Mohanty, E. Kougianos, Fast statistical process variation analysis using universal Kriging metamodeling: a PLL example, in 2013 IEEE 56th Int. Midwest Symp. Circuits Syst. (MWSCAS), Columbus, OH, 2013

    Google Scholar 

  21. E. Felt, S. Zanella, C. Guardiani, A. Sangiovanni-Vincentelli, Hierarchical statistical characterization of mixed-signal circuits using, in Proc. Int. Conf. Comput. Aided Des., San Jose, CA, 1996

    Google Scholar 

  22. R.H. Myers, D.C. Montgomery, C.M. Anderson-Cook, Response Surface Methodology: Process and Product Optimization Using Designed Experiments, Wiley Series in Probability and Statistics, 4th edn. (Wiley Inc. Publications, Hoboken, NJ, 2016)

    MATH  Google Scholar 

  23. X. Li, W. Zhang, F. Wang, Large-scale statistical performance modeling of analog and mixed-signal circuits, in Proc. IEEE 2012 Custom Integr. Circuits Conf., San Jose, CA, 2012

    Google Scholar 

  24. Á. Bűrmen, H. Habal, Computing worst-case performance and yield of analog integrated circuits by means of mesh adaptive direct search. J. Microelectron. Electron. Comp. Mater. 45(2), 160–170 (2015)

    Google Scholar 

  25. X. Pan, H. Graeb, Reliability analysis of analog circuits using quadratic lifetime worst-case distance prediction, in IEEE Custom Integrated Circuits Conf. 2010, San Jose, CA, 2010

    Google Scholar 

  26. E. Sciacca, S. Spinella, A.M. Anile, Possibilistic worst case distance and applications to circuit sizing, in Theoretical Advances and Applications of Fuzzy Logic and Soft Computing, (Springer, Berlin, 2007), pp. 287–295

    Chapter  Google Scholar 

  27. L. Opalski, Remarks on statistical design centering. Int. J. Electron. Telecommun. 57(2), 159–167 (2011)

    Article  Google Scholar 

  28. R. Martins, N. Lourenço, A. Canelas, N. Horta, Stochastic-based placement template generator for analog IC layout-aware synthesis. Integration VLSI J. 58, 485–495 (2017)

    Article  Google Scholar 

  29. D. Ghai, S.P. Mohanty, E. Kougianos, Design of parasitic and process-variation aware nano-CMOS RF circuits: a VCO case study. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 17(9), 1339–1342 (2009)

    Article  Google Scholar 

  30. S.P. Mohanty, E. Kougianos, Incorporating manufacturing process variation awareness in fast design optimization of nanoscale CMOS VCOs. IEEE Trans. Semicond. Manuf. 27(1), 22–31 (2014)

    Article  Google Scholar 

  31. Solido Design Automation, Mentor a Siemens Business, Technology|Solido Design Automation High Sigma Monte Carlo. [Online]. Available: https://www.solidodesign.com/products/variation-designer/technology/#high-sigma-monte-carlo. Accessed 6 Nov 2018

  32. Solido Design Automation, Mentor a Siemens Business, Technology|Solido Design Automation Fast Monte Carlo. [Online]. Available: https://www.solidodesign.com/products/variation-designer/technology/#fast-monte-carlo. Accessed 8 Nov 2018

  33. MunEDA GmbH, muneda.com—Solutions Analysis Overview, 2018. [Online]. Available: https://www.muneda.com/solutions_analysis_overview.php. Accessed 9 Nov 2018

  34. Synopsys, Inc., Reliability Analysis. [Online]. Available: https://www.synopsys.com/verification/ams-verification/reliability-analysis.html. Accessed 9 Nov 2018

  35. Cadence Design Systems, Inc., Virtuoso Analog Design Environment Family, 2014. [Online]. Available: https://www.cadence.com/content/dam/cadence-www/global/en_US/documents/tools/custom-ic-analog-rf-design/virtuoso-analog-design-fam-ds.pdf. Accessed 13 Sept 2018

  36. Cadence Design Systems, Inc., Vituoso Variation Option. [Online]. Available: https://www.cadence.com/content/cadence-www/global/en_US/home/tools/custom-ic-analog-rf-design/circuit-design/virtuoso-variation-option.html. Accessed 9 Nov 2018

  37. Cadence Design Systems, Inc., Accelerating Monte Carlo Analysis at Advanced Nodes, 2016. [Online]. Available: https://www.cadence.com/content/dam/cadence-www/global/en_US/documents/tools/custom-ic-analog-rf-design/monte-carlo-analysis-at-advanced-nodes-wp.pdf. Accessed 20 Jan 2018

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Canelas, A.M.L., Guilherme, J.M.C., Horta, N.C.G. (2020). Yield Estimation Techniques Related Work. In: Yield-Aware Analog IC Design and Optimization in Nanometer-scale Technologies. Springer, Cham. https://doi.org/10.1007/978-3-030-41536-5_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-41536-5_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-41535-8

  • Online ISBN: 978-3-030-41536-5

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics