Skip to main content
  • 218 Accesses

Abstract

Trojans and backdoors inserted by untrusted foundries have become serious threats to hardware security. Split manufacturing is proposed to hide important circuit structures and prevent Trojan insertion by fabricating partial interconnections in trusted foundries. Existing split manufacturing frameworks, however, usually lack security guarantee and suffer from poor scalability. It is observed that inserting dummy cells and wires can have a high potential for overcoming the security and scalability problems of existing methods, but it is not compatible with current security definition. In this chapter, two major questions are answered: when the insertion of dummy cells and wires is considered, (1) how to define the notion of security and (2) how to realize the required security level effectively and efficiently. With extensive experiments, the proposed framework demonstrates significantly better efficiency, overhead reduction, and security guarantee compared with the previous state of the art.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.00
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    In the chapter, nodes and cells are the same and used interchangeably.

References

  1. Brglez, F., Bryan, D., & Kozminski, K. (1989). Combinational profiles of sequential benchmark circuits. In Proceedings of the IEEE International Symposium on Circuits and Systems (pp. 1929–1934).

    Google Scholar 

  2. Cadence SOC Encounter. http://www.cadence.com

  3. Cheng, J., Fu, A. W.-C., & Liu, J. (2010). K-isomorphism: Privacy preserving network publication against structural attacks. In Proceedings of the ACM Conference on Management of Data.

    Google Scholar 

  4. Feng, L., Wang, Y., Mak, W.-K., Rajendran, J., & Hu, J. (2017). Making split fabrication synergistically secure and manufacturable. In Proceedings of the International Conference on Computer Aided Design.

    Google Scholar 

  5. Garg,S., & Rajendran, J. J. (2017). Split manufacturing. In Hardware protection through obfuscation (pp. 243–262). Berlin: Springer.

    Chapter  Google Scholar 

  6. Goldberg, A. V. (1997). An efficient implementation of a scaling minimum-cost flow algorithm. Journal of Algorithms, 22(1), 1–29.

    Article  MathSciNet  Google Scholar 

  7. Gurobi Optimization Inc. (2014). Gurobi optimizer reference manual. http://www.gurobi.com

  8. Hill, B., Karmazin, R., Otero, C. T. O., Tse, J., & Manohar, R. (2013). A split-foundry asynchronous FPGA. In Proceedings of the IEEE Custom Integrated Circuits Conference.

    Google Scholar 

  9. Imeson, F., Emtenan, A., Garg, S., & Tripunitara, M. (2013). Securing computer hardware using 3D integrated circuit (IC) technology and split manufacturing for obfuscation. In USENIX Security Symposium (pp. 495–510). Berkeley: USENIX.

    Google Scholar 

  10. Kleinberg, J., & Tardos, E. (2005). Network flow. In Algorithm design. London: Pearson Education.

    Google Scholar 

  11. LEMON. http://lemon.cs.elte.hu/trac/lemon

  12. Lin, Y., Yu, B., Xu, X., Gao, J.-R., Viswanathan, N., Liu, W.-H., et al. (2017). MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37, 1237–1250.

    Article  Google Scholar 

  13. Magaña, J., Shi, D., & Davoodi, A. (2016). Are proximity attacks a threat to the security of split manufacturing of integrated circuits? In Proceedings of the International Conference on Computer Aided Design.

    Google Scholar 

  14. Ozdal, M. M. (2009). Detailed-routing algorithms for dense pin clusters in integrated circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(3), 340–349.

    Article  Google Scholar 

  15. Rajendran, J., Sinanoglu, O., & Karri, R. (2013). Is split manufacturing secure? In Proceedings of the Design, Automation and Test in Europe.

    Google Scholar 

  16. Salmani, H., Tehranipoor, M., & Karri, R. (2013). On design vulnerability analysis and trust benchmarks development. In Proceedings of the IEEE International Conference on Computer Design (pp. 471–474).

    Google Scholar 

  17. Sengupta, A., Patnaik, S., Knechtel, J., Ashraf, M., Garg, S., & Sinanoglu, O. (2017). Rethinking split manufacturing: An information-theoretic approach with secure layout techniques. In Proceedings of the International Conference on Computer Aided Design.

    Google Scholar 

  18. Shi, Q., Xiao, K., Forte, D., & Tehranipoor, M. M. (2017). Securing split manufactured ICs with wire lifting obfuscated built-in self-authentication. In Proceedings of the IEEE Great Lakes Symposium on VLSI (pp. 339–344).

    Google Scholar 

  19. Skorobogatov, S., & Woods, C. (2012). Breakthrough silicon scanning discovers backdoor in military chip. In Proceedings of the International Conference on Cryptographic Hardware and Embedded Systems.

    Google Scholar 

  20. Vaidyanathan, K., Das, B. P., & Pileggi, L. (2014). Detecting reliability attacks during split fabrication using test-only BEOL stack. In Proceedings of the IEEE/ACM Design Automation Conference (pp. 156:1–156:6).

    Google Scholar 

  21. Vaidyanathan, K., Liu, R., Sumbul, E., Zhu, Q., Franchetti, F., & Pileggi, L. (2014). Efficient and secure intellectual property (IP) design with split fabrication. In Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust (pp. 13–18).

    Google Scholar 

  22. Valamehr, J., Sherwood, T., Kastner, R., Marangoni-Simonsen, D., Huffmire, T., Irvine, C., et al. (2013). A 3-D split manufacturing approach to trustworthy system development. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(4), 611–615.

    Article  Google Scholar 

  23. Wang, Y., Cao, T., Hu, J., & Rajendran, J. (2017). Front-end of line attacks in split manufacturing. In Proceedings of the International Conference on Computer Aided Design.

    Google Scholar 

  24. Wang, Y., Chen, P., Hu, J., & Rajendran, J. (2016). The cat and mouse in split manufacturing. In Proceedings of the IEEE/ACM Design Automation Conference.

    Google Scholar 

  25. Wang, Y., Chen, P., Hu, J., & Rajendran, J. J. (2017). Routing perturbation for enhanced security in split manufacturing. In Proceedings of the Asia and South Pacific Design Automation Conference (pp. 605–510).

    Google Scholar 

  26. West, D. B. (2000). Introduction to graph theory. Upper Saddle River: Prentice Hall.

    Google Scholar 

  27. Xiao, K., Forte, D., & Tehranipoor, M. M. (2015). Efficient and secure split manufacturing via obfuscated built-in self-authentication. In Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust (pp. 14–19).

    Google Scholar 

  28. Xie, Y., Bao, C., & Srivastava, A. (2017). 3D/2.5 D IC-based obfuscation. In Hardware protection through obfuscation (pp. 291–314). Cham: Springer.

    Chapter  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Li, M., Pan, D.Z. (2020). Practical Split Manufacturing Optimization. In: A Synergistic Framework for Hardware IP Privacy and Integrity Protection. Springer, Cham. https://doi.org/10.1007/978-3-030-41247-0_2

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-41247-0_2

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-41246-3

  • Online ISBN: 978-3-030-41247-0

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics