Skip to main content

Approximate Computing: Towards Ultra-Low-Power Systems Design

  • Chapter
  • First Online:
Neuromorphic Computing and Beyond

Abstract

Power consumption has emerged to be a major design constraint for modern integrated circuits, especially for low power applications such as the “Internet of Things,” wearable, and implantable devices. Approximate computing (AC) is a promising paradigm to overcome the energy scaling barrier of computer systems. Approximate computing is a special type of computation which returns a possibly inaccurate result—but acceptable—rather than a guaranteed accurate result to save resources, memory, run-time, and energy. The motivation behind this is that some applications are computing their results more accurately than needed, so they waste resources. So, it trades off between accuracy in computation or acceptable quality of results and resources [1]

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 99.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Q. Xu, T. Mytkowicz, N.S. Kim, Approximate computing: A survey. IEEE Design Test 33, 8–22 (2016)

    Article  Google Scholar 

  2. S. Mittal, A survey of techniques for approximate computing. ACM Comput. Surv. 48, 62:1–62:33 (2016)

    Google Scholar 

  3. Kulkarni, et al., Trading Accuracy for Power with an Under Designed Multiplier Architecture (2011)

    Google Scholar 

  4. Z. Zhao, W. Qian, A General Design of Stochastic Circuit and Its Synthesis (Design, Automation, and Test in Europe (DATE), Grenoble, 2015)

    Book  Google Scholar 

  5. V. K. Chippa, S. T. Chakradhar, K. Roy, A. Raghunathan, Analysis and Characterization of Inherent Application Resilience for Approximate Computing, In The 50th Annual Design Automation Conference 2013, DAC’13. ACM (2013), pp. 1–9

    Google Scholar 

  6. J. Han, M. Orshansky, Approximate Computing: An Emerging Paradigm for Energy-Efficient Design, In Proc. of the 18th IEEE European Test Symposium. IEEE (2013), pp. 1–6

    Google Scholar 

  7. H. Esmaeilzadeh, A. Sampson, L. Ceze, D. Burger, Neural acceleration for general-purpose approximate programs. Commun. ACM 58(1), 105–115 (2015)

    Article  Google Scholar 

  8. V. Gupta, D. Mohapatra, A. Raghunathan, K. Roy, Low-power digital signal processing using approximate adders. IEEE Trans Comp Aid Design Integr Cir Sys 32(1), 124–137 (2013)

    Article  Google Scholar 

  9. K. Nepal, Y. Li, R. I. Bahar, S. Reda, ABACUS: A Technique for Automated Behavioral Synthesis of Approximate Computing Circuits. In 2014 Design, Automation Test in Europe Conference Exhibition (DATE) (2014), pp. 1–6. https: //doi.org/10.7873/DATE.2014.374

  10. Q. Xu et al., Approximate Computing: A Survey (IEEE Design & Test, 2016)

    Google Scholar 

  11. Q. Zhang, T. Wang, Y. Tian, et al., ApproxANN: An Approximate Computing Framework for Artificial Neural Network. In: Proceedings of the 2015 Design Automation & Test in Europe Conference & Exhibition. EDA Consortium (2015), pp. 701–706

    Google Scholar 

  12. D. Mohapatra, V.K. Chippa, A. Raghunathan, et al., Design of Voltage-Scalable Meta-Functions for Approximate Computing. In: Design, Automation &Test in Europe Conference & Exhibition (DATE), 2011. (IEEE, 2011), pp. 1–6

    Google Scholar 

  13. S. Venkataramani, V.K. Chippa, S.T. Chakradhar, et al. Quality Programmable Vector Processors for Approximate Computing. In: IEEE/ACM International Symposium on Microarchitecture (ACM, 2013), pp. 1–12

    Google Scholar 

  14. K. Salah, Design and FPGA Implementation of Non-data Aided Timing and Carrier Recovery Techniques for EDR Bluetooth Standard. Signal processing algorithms, architectures, arrangements, and applications (SPA) (IEEE, 2008)

    Google Scholar 

  15. V. Gupta, D. Mohapatra, A. Raghunathan, K. Roy, Low-power digital signal processing using approximate adders. IEEE Trans. On CAD of Integr. Circuits and Systems 32(1), 124–137 (2013)

    Article  Google Scholar 

  16. C.-H. Lin, I.-C. Lin, High Accuracy Approximate Multiplier with Error Correction. In: Computer Design (ICCD), 2013 IEEE 31st International Conference on. IEEE (2013), pp. 33–38

    Google Scholar 

  17. G.R. Morris, K. Abed, Mapping a Jacobi iterative solver onto a high performance heterogeneous computer. IEEE Trans Parallel and Distrib-Sys 24(1), 85–91 (2013)

    Article  Google Scholar 

  18. H. Fathalizadeh, Solving Nonlinear Ordinary Differential Equations Using Neural Networks” 2016 4th International Conference on Control, Instrumentation, and Automation (ICCIA) (2016), pp. 27–28

    Google Scholar 

  19. S. Keskar, Design and implementation of low-power digital signal processing using approximate adders. Int J Sci Res Eng Technol., ISSN 2278 – 0882 4(2) (2015)

    Google Scholar 

  20. W. J. Poppelbaum, C. Afuso, J. W. Esch, Stochastic Computing Elements and Systems, In Proc. Fall Joint Comput. Conf., Nov. 14–16 (1967), pp. 635–644. http://doi.acm.org/10.1145/1465611.1465696

  21. H. Sim, S. Kenzhegulov, J. Lee, DPS: Dynamic Precision Scaling for Stochastic Computing-based Deep Neural Networks. In Proceedings of the 55th Annual Design Automation Conference (DAC ‘18). ACM, New York, NY, USA (2018), Article 13, p. 6. https://doi.org/10.1145/3195970.3196028

  22. H. Sim, J. Lee, A New Stochastic Computing Multiplier with Application to Deep Convolutional Neural Networks. In 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC) (2017), pp. 1–6. https://doi.org/10.1145/3061639.3062290

  23. H. Sim, J. Lee, Log-Quantized Stochastic Computing for Memory and Computation Efficient DNNs. In Proceedings of the 24th Asia and South Pacific Design Automation Conference (ASPDAC ‘19). ACM (2019), pp. 280–285

    Google Scholar 

  24. W. El-Harouni, S. Rehman, B. S. Prabakaran, A. Kumar, R. Hafiz, M. Shafique, Embracing Approximate Computing for Energy-Efficient Motion Estimation in High Efficiency Video Coding. In 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE (2017), pp. 1384–1389

    Google Scholar 

  25. M. Franceschi, Approximate FPGA Implementation of CORDIC for Tactile Data Processing using Speculative Adders. IEEE NGCAS (2017)

    Google Scholar 

  26. M.A. Hanif, A. Marchisio, T. Arif, R. Hafiz, S. Rehman, M. Shafique, X-DNNs: systematic cross-layer approximations for energy-efficient deep neural networks. J Low Power Electr 14(4), 520–534 (2018)

    Article  Google Scholar 

  27. X. He, L. Ke, W. Lu, G. Yan, X. Zhang, AxTrain: Hardware-Oriented Neural Network Training for Approximate Inference. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED ‘18). ACM, New York, NY, USA (2018), Article 20, p. 6. https://doi.org/10.1145/3218603.3218643

  28. S. Mittal, A survey of techniques for approximate computing. ACM Comp Surv 48(4), 62 (2016)

    Google Scholar 

  29. R. Soheil Hashemi I. Bahar, S. Reda, DRUM: A Dynamic Range Unbiased Multiplier for Approximate Applications. In IEEE/ACM Int. Conf. on Computer-Aided Design (ICCAD ‘15) (2015)

    Google Scholar 

  30. H. Jiang, C. Liu, N. Maheshwari, F. Lombardi, J. Han. A Comparative Evaluation of Approximate Multipliers. In IEEE/ACM Int. Symposium on Nanoscale Architectures (NANOARCH) (2016)

    Google Scholar 

  31. P. Coussy, An Introduction to High-Level Synthesis. In IEEE Design & Test of Computers (2009)

    Google Scholar 

  32. K. Salah, Design and FPGA Implementation of Non-data Aided Timing and Carrier Recovery Techniques for EDR Bluetooth Standard. Signal Processing Algorithms, Architectures, Arrangements, and Applications (SPA), 2008. (IEEE, 2008)

    Google Scholar 

  33. A. Aponte-Moreno, C. Pedraza, F. Restrepo-Calle, Reducing Overheads in Software-based Fault Tolerant Systems using Approximate Computing. IEEE (2019)

    Google Scholar 

  34. A. Momeni, J. Han, P. Montuschi, et al., Design and analysis of approximate compressors for multiplication. IEEE Trans. Comput. 64(4), 984–994 (2015)

    Article  MathSciNet  Google Scholar 

  35. N. Sayed, F. Oboril, A. Shirvanian, R. Bishnoi, M. Tahoori, Exploiting STT-MRAM for Approximate Computing. 22nd IEEE European Test Symposium (ETS) (2017)

    Google Scholar 

  36. B. Zeinali, D. Karsinos, F. Moradi, Progressive Scaled STT-RAM for Approximate Computing in Multimedia Applications. IEEE Transactions on Circuits and Systems II: Express Briefs, (2017)

    Google Scholar 

  37. Kim et al., Flipping Bits in Memory without Accessing Them: An Experimental Study of DRAM Disturbance Errors. ISCA (2014)

    Google Scholar 

  38. C. M. Sadler, M. Martonosi, Data Compression Algorithms for Energy-Constrained Devices in Delay Tolerant Networks, Proceeding of ACM SenSys (2006)

    Google Scholar 

  39. K. Salah, FPGA Implementation of Bluetooth 2.0 Transceiver. In Proceedings of the 5th WSEAS international conference on System science and simulation in engineering (World Scientific and Engineering Academy and Society (WSEAS), 2006)

    Google Scholar 

  40. G.J. Sullivan et al., Overview of the high efficiency video coding (HEVC) standard. IEEE Trans. Circ Syst Video Technol 22(12), 1649–1668 (2012)

    Article  Google Scholar 

  41. Data compression, En.wikipedia.org (2018). https://en.wikipedia.org/wiki/Data_compression

  42. M. Nourazar, V. Rashtchi, A. Azarpeyvand, F. Merrikh-Bayat, Code acceleration using Memristor-based approximate matrix multiplier: Application to convolutional neural networks. IEEE Trans Very Large Scale Integr Syst 26, 12 (2018)

    Article  Google Scholar 

  43. L. Renganarayana, V. Srinivasan, R. Nair, and D. Prener, Programming with Relaxed Synchronization. In Proceedings of the 2012 ACM Workshop on Relaxing Synchronization for Multicore and Manycore Scalability - RACES ‘12, New York: ACM Press, 2012, p. 41

    Google Scholar 

  44. F. Restrepo-Calle, A. Martinez-Alvarez, S. Cuenca-Asensi, A. Jimeno-Morenilla, Selective SWIFT-R. a flexible software-based technique for soft error mitigation in low-cost embedded systems. J. Electron. Test. 29(6), 825–838 (2013)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Mohamed, K.S. (2020). Approximate Computing: Towards Ultra-Low-Power Systems Design. In: Neuromorphic Computing and Beyond. Springer, Cham. https://doi.org/10.1007/978-3-030-37224-8_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-37224-8_5

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-37223-1

  • Online ISBN: 978-3-030-37224-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics