Skip to main content

Thermal-Aware Application Mapping Strategy for Designing a 2D NoC-Based Multi-Core Systems

  • Chapter
  • First Online:
Design and Test Strategies for 2D/3D Integration for NoC-based Multicore Architectures

Abstract

In previous chapters, we have seen a number of application mapping algorithms together with TSV placement to minimize communication cost and energy consumption of NoC-based systems. However, algorithms which minimize communication cost (network latency) of the mapping may not consider thermal effects, resulting in hotspots and high peak temperatures, which in turn decrease the performance of systems, lifetime, reliability and leakage power dissipation. It may also create a very high-temperature variance within the chip, resulting in uneven delays across the chip.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Bader, D. A., & Madduri, K. (2006). Designing multithreaded algorithms for breadth-first search and st-connectivity on the Cray MTA-2. In International Conference on Parallel Processing (ICPP) (pp 523–530). Piscataway: IEEE.

    Google Scholar 

  2. Beamer, S., Asanovic, K., & Patterson, D. (2015). The GAP benchmark suite. arXiv:1508.03619 [cs.DC].

    Google Scholar 

  3. Bienia, C., Kumar, S., Singh, J. P., & Li, K. (2008). The PARSEC benchmark suite: Characterization and architectural implications. In International Conference on Parallel Architectures and Compilation Techniques (PACT) (pp. 72–81).

    Google Scholar 

  4. Catania, V., Mineo, A., Monteleone, S., Palesi, M., & Patti, D. (2016). Cycle-accurate network on chip simulation with Noxim. ACM Transactions on Modeling and Computer Simulation, 27(1), 4:1–4:25.

    Google Scholar 

  5. Cplex (2013). www.ibm.com/software/in/integration/optimization/cplex

  6. Feero, B. S., & Pande, P. P. (2009). Networks-on-Chip in a three dimensional environment: A performance evaluation. IEEE Transactions on computers, 58(1), 32–45.

    Article  MathSciNet  Google Scholar 

  7. Graph500. (2018). Graph500 benchmark. www.graph500.org

  8. Kahng, A. B., Li, B., Peh, L. S., & Samadi, K. (2012). ORION 2.0: A power area simulator for interconnection networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20, 191–196.

    Article  Google Scholar 

  9. Li, S., Ahn, J. H., Strong, R. D., Brockman, J. B., Tullsen, D. M., & Jouppi, N. P. (2013). The McPAT framework for multicore and manycore architectures: Simultaneously modeling power, area, and timing. ACM Transactions on Architecture and Code Optimization, 10(1), 5:1–5:29.

    Google Scholar 

  10. Magnusson, P., Christensson, M., Eskilson, J., Forsgren, D., Hallberg, G., Hogberg, J., et al. (2002). Simics: A full system simulation platform. Computer, 35(2), 50–58.

    Article  Google Scholar 

  11. Mahajan, R. (2002) Thermal management of CPUs: A perspective on trends, needs and opportunities. In Keynote Presentation at the 8th International Workshop on THERMal INvestigations of ICs and Systems.

    Google Scholar 

  12. Martin, M. M. K., Sorin, D. J., Beckmann, B. M., Marty, M. R., Xu, M., Alameldeen, A. R., et al. (2005). Multifacet’s general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Computer Architecture News, 33(4), 92–99.

    Article  Google Scholar 

  13. Moazzen, M., Reza, A., & Reshadi, M. (2012). CoolMap: A thermal-aware mapping algorithm for application specific networks-on-chip. In Proceeding of Euromicro Conference on Digital System Design (DSD) (pp. 731–734). https://doi.org/10.1109/DSD.2012.35

  14. Sahu, P. K., Shah, T., Manna, K., & Chattopadhyay, S. (2014b) Application mapping onto mesh based network-on-chip using discrete particle swarm optimization. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(2), 300–312.

    Article  Google Scholar 

  15. Skadron, K., Stan, M. R., Huang, W., Velusamy, S., Sankaranarayanan, K., & Tarjan, D. (2003). Temperature-Aware microarchitecture. In Proceeding of IEEE International Symposium on Computer Architecture (ISCA) (pp. 1–12).

    Google Scholar 

  16. Zhu, D., Chen, L., Pinkston, T. M., & Pedram, M. (2015). TAPP: Temperature-aware application mapping for NoC-based many-core processors. In Proceeding of Design, Automation Test in Europe (DATE) (pp. 1241–1244).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Manna, K., Mathew, J. (2020). Thermal-Aware Application Mapping Strategy for Designing a 2D NoC-Based Multi-Core Systems. In: Design and Test Strategies for 2D/3D Integration for NoC-based Multicore Architectures. Springer, Cham. https://doi.org/10.1007/978-3-030-31310-4_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-31310-4_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-31309-8

  • Online ISBN: 978-3-030-31310-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics