Skip to main content
  • 344 Accesses

Abstract

In sophisticated embedded VLSI products, a single chip implementation integrating several Intellectual Property (IP) cores for performing various functions and possibly operating at different clock rates is quite common. This implementation is traditionally known as System-on-Chip (SoC). The SoC-based system design methodology focuses on the computational aspects of the problem. However, the number of components in a single chip and their performances continue to increase. To address complex real-life applications, it is required to have multiple processors which can cohesively communicate and provide high parallelism. This, in turn, has resulted in Chip Multi-Processing (CMP) systems to provide scalable computational power. Hundreds of processing cores are integrated on the SoC platform to build Multi-Processor System-on-Chip (MPSoC) in deep submicron (DSM) technology. In these systems, the design of communication architecture plays a major role in defining the area, performance and energy consumption of the overall system.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • Banerjee, K., Souri, S. J., Kapur, P., & Saraswat, K. C. (2001). 3-D ICs: A novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proceedings of the IEEE, 89(5), 602–633.

    Article  Google Scholar 

  • Benini, L., & De Micheli, G. (2002). Networks-on-chips: A new SoC paradigm. Computer, 35(1), 70–78.

    Article  Google Scholar 

  • Bjerregaard, T., & Mahadevan, S. (2006). A survey of research and practices of network-on-chip. ACM Computing Surveys, 38(1), 1–51.

    Article  Google Scholar 

  • Cong, J., & Zhang, Y. (2005). Thermal via planning for 3-D ICs. In Proceedings of the International Conference on Computer-Aided Design (ICCAD) (pp. 745–752). Piscataway, NJ: IEEE.

    Google Scholar 

  • Congying, L., Huanping, Z., & Xinfeng, Y. (2011). Particle swarm optimization algorithm for quadratic assignment problem. In Proceedings of IEEE International Conference on Computer Science Networking Technolgy (pp. 728–1731). Piscataway, NJ: IEEE.

    Google Scholar 

  • Dally, W. J., & Towles, B. (2001). Route packets, not wires: On-chip interconnection networks. In Proceedings of Design Automation Conference (DAC) (pp. 683–689).

    Google Scholar 

  • Davis, W. R., Wilson, J., Mick, S., Xu, J., Hua, H., Mineo, C., et al. (2005). Demystifying 3D ICs: The pros and cons of going vertical. IEEE Design and Test of Computers, 22, 498–510.

    Article  Google Scholar 

  • FlipChip. (2005). Flip chip ball grid array package reference guide. www.ti.com/lit/ug/spru811a/spru811a.pdf

  • Garcy, M. R., & Johson, D. S. (1979). Computers and intractability: A guide to the theory of NP-completeness. San Francisco, CA: W. H. Freeman Publisher.

    Google Scholar 

  • Goplen, B., & Sapatnekar, S. (2005). Thermal via placement in 3D ICs. In Proceedings of International Symposium on Physical Design (ISPD) (pp. 167–174). New York, NY: ACM.

    Google Scholar 

  • Grecu, C., Pande, P. P., Ivanov, A., & Saleh, R. (2004). Structured interconnect architecture: A solution for the non-scalability of bus-based SoCs. In Proceedings of Great Lakes Symposium on VLSI (GLSVLSI) (pp. 192–195). New York, NY: ACM.

    Google Scholar 

  • Guner, A. R., & Sevkli, M. (2008). A discrete particle swarm optimization algorithm for uncapacited facility location problem. Journal of Artificial Evolution and Application, 2008, 1–9

    Article  Google Scholar 

  • Ho, R., Mai, K. W., & Horowitz, M. A. (2001). The future of wires. Proceedings of the IEEE, 89(4), 490–504.

    Article  Google Scholar 

  • Li, X., Ma, Y., Hong, X., Dong, S., & Cong, J. (2008). LP based white space redistribution for thermal via planning and performance optimization in 3D ICs. In Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC) (pp. 209–212). Piscataway, NJ: IEEE.

    Google Scholar 

  • Li, Z., Hong, X., Zhou, Q., Zeng, S., Bian, J., Yang, H., et al. (2006). Integrating dynamic thermal via planning with 3D floorplanning algorithm. In Proceedings of International Symposium on Physical Design (ISPD) (pp. 178–185). New York, NY: ACM.

    Google Scholar 

  • Liu, C., Shi, J., Cota, E., & Iyengar, V. (2005a). Power-aware test scheduling in network-on-chip using variable-rate on-chip clocking. In Proceedings of VLSI Test Symposium (pp 349–354).

    Google Scholar 

  • Liu, C., Veeraraghavan, K., & Iyengar, V. (2005b). Thermal-aware test scheduling and hot spot temperature minimization for core based systems. In Proceedings of International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT) (pp. 552–560).

    Google Scholar 

  • Murali, S., & Micheli, G. D. (2004). Bandwidth constrained mapping of cores onto NoC architectures. In Proceedings of Design, Automation and Test in Europe (DATE) (pp. 896–901).

    Google Scholar 

  • Pasricha, S. (2012). A Framework for TSV serialization-aware synthesis of application specific 3D networks-on-chip. In Proceedings of International Conference on VLSI Design (VLSID) (pp. 268–273).

    Google Scholar 

  • Quaye, C. A. (2005). Thermal-aware mapping and placement for 3-D NoC design. In Proceedings of IEEE International Conference on SoC (pp. 25–28).

    Google Scholar 

  • Rosinger, P., Al-Hashimi, B., & Chakrabarty, K. (2005). Rapid generation of thermal-safe test schedules. In Proceedings of Design, Automation and Test in Europe (DATE) (pp. 840–845).

    Google Scholar 

  • Sapatnekar, S. S. (2009). Addressing thermal and power delivery bottlenecks in 3D circuits. In Proceedings of Asia and South Pacific Design Automation Conference (ASP-DAC) (pp. 423–428).

    Google Scholar 

  • Semiconductor Industry Association. (2007). In The international technology roadmap for semiconductors (ITRS).

    Google Scholar 

  • Semiconductor Industry Association. (2009). In The international technology roadmap for semiconductors (ITRS).

    Google Scholar 

  • Shang, L., Peh, L., Kumar, A., & Jha, N. K. (2006). Temperature-aware on-chip networks. IEEE Micro, 26(1), 130–139.

    Article  Google Scholar 

  • Tsai, J. L., Chen, C. P., Chen, G., Goplen, B., Qian, H., Zhan, Y., et al. (2006). Temperature aware placement for SOCs. Proceedings of the IEEE, 94(8), 1502–1518.

    Article  Google Scholar 

  • Xu, T. C., Liljeberg, P., & Tenhunen, H. (2011). Optimal number and placement of through silicon vias in 3D network-on-chip. In Proceedings of International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) (pp 105–110).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Manna, K., Mathew, J. (2020). Introduction. In: Design and Test Strategies for 2D/3D Integration for NoC-based Multicore Architectures. Springer, Cham. https://doi.org/10.1007/978-3-030-31310-4_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-31310-4_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-31309-8

  • Online ISBN: 978-3-030-31310-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics