Skip to main content

Abstract

In the context of reliable and secure integrated circuit (IC) system applications, this chapter generally discusses the effects of transient faults induced by environmental and intentional perturbation sources. The first section briefly analyzes the transient faults induced by environmental perturbation events during the IC lifetime, and the next section synthesizes the consequences of transient faults due to intentional perturbation events, which indeed create very similar transient voltage modifications in IC systems. Furthermore, the electrical-level effects of transient faults in ICs and the consequent failures arisen from the different types of transient-fault effects on synchronous circuits (clocked systems) and asynchronous circuits (clockless systems) are also detailed in the following sections.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 89.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Abramovici, M., Breuer, M. A., & Friedman, A. D. (1994). Digital systems testing and testable design. Hoboken: Wiley.

    Book  Google Scholar 

  2. Barenghi, A., Breveglieri, L., Koren, I., & Naccache, D. (2012). Fault injection attacks on cryptographic devices: Theory, practice, and countermeasures. Proceedings of the IEEE 100(11), 3056–3076.

    Article  Google Scholar 

  3. Baumann, R. C. (2005). Radiation-induced soft errors in advanced semiconductor technologies. IEEE Transactions on Device and Materials Reliability 5(3), 305–316.

    Article  Google Scholar 

  4. Borkar, S. (2005). Designing reliable systems from unreliable components: The challenges of transistor variability and degradation. IEEE Micro, 25(6), 10–16.

    Article  Google Scholar 

  5. Buchner, S. P., Miller, F., Pouget, V., & McMorrow, D. P. (2013). Pulsed-laser testing for single-event effects investigations. IEEE Transactions on Nuclear Science, 60(3), 1852–1875.

    Article  Google Scholar 

  6. Cha, H., & Patel, J. H. (1993). A logic-level model for /spl alpha/-particle hits in CMOS circuits. In Proceedings of 1993 IEEE International Conference on Computer Design ICCD’93 ( pp. 538–542).

    Google Scholar 

  7. Constantinescu, C. (2005). Neutron SER characterization of microprocessors. In 2005 International Conference on Dependable Systems and Networks (DSN’05) (pp. 754–759).

    Google Scholar 

  8. Dodd, P. E., Shaneyfelt, M. R., Felix, J. A., & Schwank, J. R. (2004). Production and propagation of single-event transients in high-speed digital logic ICs. IEEE Transactions on Nuclear Science, 51(6), 3278–3284.

    Article  Google Scholar 

  9. Ferlet-Cavrois, V., Paillet, P., Gaillardin, M., Lambert, D., Baggio, J., Schwank, J. R., et al. (2006). Statistical analysis of the charge collected in soi and bulk devices under heavy lon and proton irradiation—implications for digital sets. IEEE Transactions on Nuclear Science, 53(6), 3242–3252.

    Article  Google Scholar 

  10. Gaisler, J. (1997). Evaluation of a 32-bit microprocessor with built-in concurrent error-detection. In Proceedings of IEEE 27th International Symposium on Fault Tolerant Computing (pp. 42–46).

    Google Scholar 

  11. Godlewski, C., Pouget, V., Lewis, D., & Lisart, M. (2009). Electrical modeling of the effect of beam profile for pulsed laser fault injection. Microelectronics Reliability, 49(9), 1143–1147.

    Article  Google Scholar 

  12. Granlund, T., Granbom, B., & Olsson, N. (2003). Soft error rate increase for new generations of SRAMs. IEEE Transactions on Nuclear Science, 50(6), 2065–2068.

    Article  Google Scholar 

  13. Habing, D. H. (1965). The use of lasers to simulate radiation-induced transients in semiconductor devices and circuits. IEEE Transactions on Nuclear Science, 12(5), 91–100.

    Article  Google Scholar 

  14. Harada, R., Mitsuyama, Y., Hashimoto, M., & Onoye, T. (2011). Neutron induced single event multiple transients with voltage scaling and body biasing. In 2011 International Reliability Physics Symposium (pp. 3C.4.1–3C.4.5).

    Google Scholar 

  15. Hazucha, P., Karnik, T., Maiz, J., Walstra, S., Bloechel, B., Tschanz, J., et al. (2003). Neutron soft error rate measurements in a 90-nm CMOS process and scaling trends in SRAM from 0.25-/spl mu/m to 90-nm generation. In IEEE International Electron Devices Meeting 2003 (pp. 21.5.1–21.5.4).

    Google Scholar 

  16. Hsieh, C. M., Murley, P. C., & O’Brien, R. R. (1981). A field-funneling effect on the collection of alpha-particle-generated carriers in silicon devices. IEEE Electron Device Letters, 2(4), 103–105.

    Article  Google Scholar 

  17. Johnston, A. H. (1993). Charge generation and collection in pn junctions excited with pulsed infrared lasers. IEEE Transactions on Nuclear Science, 40(6), 1694–1702.

    Article  Google Scholar 

  18. Karnik, T., & Hazucha, P. (2004). Characterization of soft errors caused by single event upsets in CMOS processes. IEEE Transactions on Dependable and Secure Computing, 1(2), 128–143.

    Article  Google Scholar 

  19. Kastensmidt, F. G. d. L. (2003). Designing single event upset mitigation techniques for large SRAM-Based FPGA components. PhD thesis, PPGC, Instituto de Informática, UFRGS.

    Google Scholar 

  20. Kastensmidt, F. L., & Reis, R. (2006). Fault-tolerance techniques for SRAM-based FPGAs. Frontiers in electronic testing. New York, NY: Springer.

    Google Scholar 

  21. Kim, C. H., & Quisquater, J. J. (2007). Faults, injection methods, & fault attacks. IEEE Design Test of Computers, 24(6), 544–545.

    Article  Google Scholar 

  22. King, M. P., Reed, R. A., Weller, R. A., Mendenhall, M. H., Schrimpf, R. D., Sierawski, B. D., et al. (2013). Electron-induced single-event upsets in static random access memory. IEEE Transactions on Nuclear Science, 60(6), 4122–4129.

    Article  Google Scholar 

  23. Krishnamohan, S., & Mahapatra, N. R. (2004). A highly-efficient technique for reducing soft errors in static CMOS circuits. In IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings. (pp. 126–131).

    Google Scholar 

  24. LaBel, K. A., Barnes, C. E., Marshall, P. W., Marshall, C. J., Johnston, A. H., Reed, R. A., et al. (2000). A roadmap for NASA’s radiation effects research in emerging microelectronics and photonics. In 2000 IEEE Aerospace Conference. Proceedings (Cat. No.00TH8484) (Vol. 5, pp. 535–545).

    Google Scholar 

  25. LaBel, K. A., Gates, M. M., Moran, A. K., Marshall, P. W., Barth, J., Stassinopoulos, E. G., et al. (1996). Commercial microelectronics technologies for applications in the satellite radiation environment. In 1996 IEEE Aerospace Applications Conference. Proceedings (Vol. 1, pp. 375–390).

    Google Scholar 

  26. Laprie, J. (1995). Dependability of computer systems: Concepts, limits, improvements. In Proceedings of Sixth International Symposium on Software Reliability Engineering. ISSRE’95 (pp. 2–11).

    Google Scholar 

  27. Liden, P., Dahlgren, P., Johansson, R., & Karlsson, J. (1994). On latching probability of particle induced transients in combinational networks. In Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing (pp. 340–349).

    Google Scholar 

  28. Lima, F. G. d., Cota, E., Carro, L., Lubaszewski, M., Reis, R., Velazco, R., et al. (2000). Designing a radiation hardened 8051-like micro-controller. In Proceedings 13th Symposium on Integrated Circuits and Systems Design (Cat. No.PR00843) (pp. 255–260).

    Google Scholar 

  29. Lisboa, C. A., Kastensmidt, F. L., Neto, E. H., Wirht, G., & Carro, L. (2007). Using built-in sensors to cope with long duration transient faults in future technologies. In 2007 IEEE International Test Conference (pp. 1–10).

    Google Scholar 

  30. Lisboa, C. A. L. (2009). Dealing with radiation induced long duration transient faults in future technologies. PhD thesis, PPGC, Instituto de Informática, UFRGS.

    Google Scholar 

  31. Lu, F., Natale, G. D., Flottes, M. L., Rouzeyre, B., & Hubert, G. (2014). Layout-aware laser fault injection simulation and modeling: From physical level to gate level. In 2014 9th IEEE International Conference on Design Technology of Integrated Systems in Nanoscale Era (DTIS) (pp. 1–6).

    Google Scholar 

  32. Maheshwari, A., Koren, I., & Burleson, N. (2003). Techniques for transient fault sensitivity analysis and reduction in VLSI circuits. In Proceedings 18th IEEE Symposium on Defect and Fault Tolerance in VLSI Systems (pp. 597–604).

    Google Scholar 

  33. Maiz, J., Hareland, S., Zhang, K., & Armstrong, P. (2003). Characterization of multi-bit soft error events in advanced SRAMs. In IEEE International Electron Devices Meeting 2003 (pp. 21.4.1–21.4.4).

    Google Scholar 

  34. Martin, A. J. (1990). The limitations to delay-insensitivity in asynchronous circuits. In Beauty Is Our Business: A Birthday Salute to Edsger W. Dijkstra. W. H. J. Feijen, A. J. M. van Gasteren, D. Gries, & J. Misra (Eds.), Texts and Monographs in Computer Science (pp. 302–311). New York, NY: Springer.

    Google Scholar 

  35. Massengill, L. W., Baranski, A. E., Nort, D. O. V., Meng, J., & Bhuva, B. L. (2000). Analysis of single-event effects in combinational logic-simulation of the AM2901 bitslice processor. IEEE Transactions on Nuclear Science, 47(6), 2609–2615.

    Article  Google Scholar 

  36. May, T. C., & Woods, M. H. (1979). Alpha-particle-induced soft errors in dynamic memories. IEEE Transactions on Electron Devices, 26(1), 2–9.

    Article  Google Scholar 

  37. Messenger, G. C. (1982). Collection of charge on junction nodes from Ion tracks. IEEE Transactions on Nuclear Science, 29(6), 2024–2031.

    Article  Google Scholar 

  38. Monnet, Y. (2007). Etude et modélisation de circuits résistants aux attaques non intrusives par injection de fautes. PhD thesis, Institut National Polytechnique de Grenoble - INPG.

    Google Scholar 

  39. Monnet, Y., Renaudin, M., & Leveugle, R. (2005). Hardening techniques against transient faults for asynchronous circuits. In 11th IEEE International On-Line Testing Symposium (pp. 129–134).

    Google Scholar 

  40. Monnet, Y., Renaudin, M., & Leveugle, R. (2006). Designing resistant circuits against malicious faults injection using asynchronous logic. IEEE Transactions on Computers, 55(9), 1104–1115.

    Article  Google Scholar 

  41. Murley, A. P. C., & O’Brien, R. R. (1983). Collection of charge from alpha-particle tracks in silicon devices. IEEE Transactions on Electron Devices, 30(6), 686–693.

    Article  Google Scholar 

  42. Neto, E. H., Ribeiro, I., Vieira, M., Wirth, G., & Kastensmidt, F. L. (2006). Using bulk built-in current sensors to detect soft errors. IEEE Micro, 26(5), 10–18.

    Article  Google Scholar 

  43. Neuberger, G., de Lima, F., Carro, L., & Reis, R. (2003). A multiple bit upset tolerant SRAM memory. ACM Transactions on Design Automation of Electronic Systems, 8(4), 577–590.

    Article  Google Scholar 

  44. Nicolaidis, M. (1999). Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146) (pp. 86–94).

    Google Scholar 

  45. Normand, E. (1996). Single-event effects in avionics. IEEE Transactions on Nuclear Science, 43(2), 461–474.

    Article  Google Scholar 

  46. Normand, E. (1996). Single event upset at ground level. IEEE Transactions on Nuclear Science, 43(6), 2742–2750.

    Article  Google Scholar 

  47. Normand, E. (2001). Correlation of inflight neutron dosimeter and SEU measurements with atmospheric neutron model. IEEE Transactions on Nuclear Science, 48(6), 1996–2003.

    Article  Google Scholar 

  48. Normand, E., Oberg, D. L., Wert, J. L., Ness, J. D., Majewski, P. P., Wender, S., et al. (1994). Single event upset and charge collection measurements using high energy protons and neutrons. IEEE Transactions on Nuclear Science, 41(6), 2203–2209.

    Article  Google Scholar 

  49. O’Bryan, M. V., LaBel, K. A., Reed, R. A., Barth, J. L., Seidleck, C. M., Marshall, P., et al. (1998). Single event effect and radiation damage results for candidate spacecraft electronics. In 1998 IEEE Radiation Effects Data Workshop. NSREC 98. Workshop Record. Held in conjunction with IEEE Nuclear and Space Radiation Effects Conference (Cat. No.98TH8385) (pp. 39–50).

    Google Scholar 

  50. Possamai Bastos, R. (2010). Transient-fault robust systems exploiting quasi-delay insensitive asynchronous circuits. PhD thesis, Institut National Polytechnique de Grenoble - INPG.

    Google Scholar 

  51. Possamai Bastos, R. (2010). Transient-fault robust systems exploiting quasi-delay insensitive asynchronous circuits. PhD thesis, PGMICRO, Instituto de Informática, UFRGS.

    Google Scholar 

  52. Possamai Bastos, R., Sicard, G., Kastensmidt, F., Renaudin, M., & Reis, R. (2010). Asynchronous circuits as alternative for mitigation of long-duration transient faults in deep-submicron technologies. Microelectronics Reliability, 50(9), 1241–1246.

    Article  Google Scholar 

  53. Renaudin, M., Bouesse, F., Proust, P., Tual, J. P., Sourgen, L., & Germain, F. (2004). High security smartcards. In Automation and Test in Europe Conference and Exhibition Proceedings Design (Vol. 1, pp. 228–232).

    Google Scholar 

  54. Rossi, D., Omana, M., Toma, F., & Metra, C. (2005). Multiple transient faults in logic: An issue for next generation ICs? In 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’05) (pp. 352–360).

    Google Scholar 

  55. Shivakumar, P., Kistler, M., Keckler, S. W., Burger, D., & Alvisi, L. (2002). Modeling the effect of technology trends on the soft error rate of combinational logic. In Proceedings International Conference on Dependable Systems and Networks (pp. 389–398).

    Google Scholar 

  56. Srour, J. R., Marshall, C. J., & Marshall, P. W. (2003). Review of displacement damage effects in silicon devices. IEEE Transactions on Nuclear Science, 50(3), 653–670.

    Article  Google Scholar 

  57. Stassinopoulos, E. G., & Raymond, J. P. (1988). The space radiation environment for electronics. Proceedings of the IEEE, 76(11), 1423–1442.

    Article  Google Scholar 

  58. Tehranipoor, M., & Koushanfar, F. (2010). A survey of hardware Trojan taxonomy and detection. IEEE Design Test of Computers, 27(1), 10–25.

    Article  Google Scholar 

  59. Tosaka, Y., Satoh, S., Itakura, T., Ehara, H., Ueda, T., Woffinden, G. A., et al. (1998). Measurement and analysis of neutron-induced soft errors in sub-half-micron CMOS circuits. IEEE Transactions on Electron Devices, 45(7), 1453–1458.

    Article  Google Scholar 

  60. Viera, R. A., Dutertre, J.-M., Maurine, P., & Possamai Bastos, R. (2018). Standard CAD tool-based method for simulation of laser-induced faults in large-scale circuits. In Proceedings of the 2018 International Symposium on Physical Design ISPD ’18 (pp. 160–167). New York, NY: ACM.

    Chapter  Google Scholar 

  61. Viera, R. A. C., Dutertre, J. M., Flottes, M. L., Potin, O., Natale, G. D., Rouzeyre, B., et al. (2018). Assessing body built-in current sensors for detection of multiple transient faults. Microelectronics Reliability, 88–90, 128–134.

    Article  Google Scholar 

  62. Viera, R. A. C., et al. (2015). Validation of single bbics architecture in detecting multiple faults. In Digest of Papers, IEEE Asian Test Symposium (ATS’15) (pp. 1–6).

    Google Scholar 

  63. Wang, F., & Agrawal, V. D. (2008). Single event upset: An embedded tutorial. In 21st International Conference on VLSI Design (VLSID 2008) (pp. 429–434).

    Google Scholar 

  64. Wirth, J. L., & Rogers, S. C. (1964). The transient response of transistors and diodes to ionizing radiation. IEEE Transactions on Nuclear Science, 11(5), 24–38.

    Article  Google Scholar 

  65. Normand, E., & Baker, T. J. (1993). Altitude and latitude variations in avionics SEU and atmospheric neutron flux. IEEE Transactions on Nuclear Science, 40(6), 1484–1490.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Bastos, R.P., Torres, F.S. (2020). Effects of Transient Faults in Integrated Circuits. In: On-Chip Current Sensors for Reliable, Secure, and Low-Power Integrated Circuits. Springer, Cham. https://doi.org/10.1007/978-3-030-29353-6_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-29353-6_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-29352-9

  • Online ISBN: 978-3-030-29353-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics