Skip to main content

RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM

  • Conference paper
  • First Online:
Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS 2019)

Abstract

Resistive RAM (RRAM) is a promising emerging Non-Volatile Memory candidate due to its scalability and CMOS compatibility, which enables the fabrication of high density RRAM crossbar arrays in Back-End-Of-Line CMOS processes. Fast and accurate architectural models of RRAM crossbar devices are required to perform system level design space explorations of new Storage Class Memory (SCM) architectures using RRAM e.g. Non-Volatile-DIMM-P (NVDIMM-P). The major challenge in architectural modeling is the trade-off between accuracy and computing intensity. In this paper we present RRAMSpec, an architecture design space exploration framework, which enables fast exploration of various architectural trade-offs in designing high density RRAM devices, at accuracy levels close to circuit level simulators. The framework estimates silicon area, timings, and energy for RRAM devices. It outperforms state-of-the-art RRAM modeling tools by conducting architectural explorations at very high accuracy levels within few seconds of execution time. Our evaluations show various trade-offs in designing RRAM crossbar arrays with respect to array sizes, write time and write energy. Finally we present the influence of technology scaling on different RRAM design trade-offs.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The number of components in simulation are reduced from \(\mathcal {O}(\#WLs \times \#BLs)\) to \(\mathcal {O}(\#WLs + \#BLs)\).

References

  1. Chen, Y., Petti, C.: ReRAM technology evolution for storage class memory application. In: 46th European Solid-State Device Research Conference (ESSDERC), pp. 432–435 (2016)

    Google Scholar 

  2. Fong, S.W., Neumann, C.M., Wong, H.S.P.: Phase-change memory towards a storage-class memory. IEEE Trans. Electron Devices 64(11), 4374–4385 (2017)

    Article  Google Scholar 

  3. Cappelletti, P.: Non volatile memory evolution and revolution. In: 2015 IEEE International Electron Devices Meeting (IEDM), pp. 10.1.1–10.1.4 (2015)

    Google Scholar 

  4. Freitas, R.F., Wilcke, W.W.: Storage-class memory: the next storage system technology. IBM J. Res. Dev. 52(4/5), 439–447 (2008)

    Article  Google Scholar 

  5. Lam, C.H.: Storage class memory. In: 10th IEEE International Conference on Solid-State and Integrated Circuit Technology, pp. 1080–1083 (2010)

    Google Scholar 

  6. Chen, A., Lin, M.: Variability of resistive switching memories and its impact on crossbar array performance. In: 2011 International Reliability Physics Symposium, pp. MY.7.1–MY.7.4 (2011)

    Google Scholar 

  7. Fantini, A., et al.: Intrinsic switching variability in HfO2RRAM. In: 5th IEEE International Memory Workshop, pp. 30–33 (2013)

    Google Scholar 

  8. Kao, Y.-F., et al.: A study of the variability in contact resistive random access memory by stochastic vacancy model. Nanoscale Res. Lett. 13(1), 213 (2018)

    Article  Google Scholar 

  9. Xu, C., et al.: Overcoming the challenges of crossbar resistive memory architectures. In: IEEE 21st International Symposium on High Performance Computer Architecture (HPCA), pp. 476–488 (2015)

    Google Scholar 

  10. Ghofrani, A., Lastras-Montao, M.A., Cheng, K.: Toward large-scale access-transistor-free memristive crossbars. In: The 20th Asia and South Pacific Design Automation Conference, pp. 563–568 (2015)

    Google Scholar 

  11. JEDEC: DDR5 & NVDIMM-P Standards Under Development. https://www.jedec.org/news/pressreleases/jedec-ddr5-nvdimm-p-standards-under-development

  12. Poremba, M., et al.: DESTINY: a tool for modeling emerging 3D NVM and eDRAM caches. In: Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1543–1546 (2015)

    Google Scholar 

  13. Dong, X., et al.: NVSim: a circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 31(7), 994–1007 (2012)

    Article  Google Scholar 

  14. Levisse, A., et al.: Architecture, design and technology guidelines for crosspoint memories. In: IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp. 55–60 (2017)

    Google Scholar 

  15. Wong, H.S.P., et al.: MetalOxide RRAM. Proc. IEEE 100(6), 1951–1970 (2012)

    Article  Google Scholar 

  16. Fleck, K., et al.: Uniting gradual and abrupt set processes in resistive switching oxides. Phys. Rev. Appl. 6, 064015 (2016)

    Article  Google Scholar 

  17. Levisse, A., et al.: Capacitor based SneakPath compensation circuit for transistor-less ReRAM architectures. In: IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp. 7–12 (2016)

    Google Scholar 

  18. Levisse, A., et al.: SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures. In: 15th Non-Volatile Memory Technology Symposium (NVMTS), pp. 1–4 (2015)

    Google Scholar 

  19. Baek, J., et al.: A reliable cross-point MLC ReRAM with sneak current compensation. In: 2015 IEEE International Memory Workshop (IMW), pp. 1–4 (2015)

    Google Scholar 

  20. Liu, T., et al.: A 130.7 mm\(^2\) 2-layer 32 Gb ReRAM memory device in 24 nm technology. In: 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 210–211 (2013)

    Google Scholar 

  21. Kim, S., Lee, W., Hwang, H.: Selector devices for cross-point ReRAM. In: 2012 13th International Workshop on Cellular Nanoscale Networks and their Applications, pp. 1–2 (2012)

    Google Scholar 

  22. Keeth, B., et al.: DRAM Circuit Design: Fundamental and High-Speed Topics, 2nd. Wiley-IEEE Press, Hoboken (2007)

    Book  Google Scholar 

Download references

Acknowledgment

This work was funded by the Carl-Zeiss Stiftung under the Nachwuchsförderprogram 2015 and the EU OPRECOMP project (http://oprecomp.eu) under grant agreement No. 732631. This work was also supported by the the Fraunhofer High Performance Center for Simulation- and Software-based Innovation and ERC Consolidator Grant COMPUSAPIEN (Grant No. 725657). The authors thank the Electronic Materials Research Lab (EMRL) at the RWTH Aachen for their great support.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Deepak M. Mathew .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Mathew, D.M. et al. (2019). RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM. In: Pnevmatikatos, D., Pelcat, M., Jung, M. (eds) Embedded Computer Systems: Architectures, Modeling, and Simulation. SAMOS 2019. Lecture Notes in Computer Science(), vol 11733. Springer, Cham. https://doi.org/10.1007/978-3-030-27562-4_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-27562-4_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-27561-7

  • Online ISBN: 978-3-030-27562-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics