Skip to main content

An Open-Hardware Platform for MPSoC Thermal Modeling

  • Conference paper
  • First Online:

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 11733))

Abstract

Current integrated circuits exhibit an impressive and increasing power density. In this scenario, thermal modelling plays a key role in the design of next generation cooling and thermal management solutions. However, extending existing thermal models, or designing new ones to account for new cooling solutions, requires parameter identification as well as a validation phase to ensure correctness of the results. In this paper, we propose a flexible solution to the validation issue, in the form of a hardware platform based on a Thermal Test Chip (TTC). The proposed platform allows to test a heat dissipation solution under realistic conditions, including fast spatial and temporal power gradients as well as hot spots, while collecting a temperature map of the active silicon layer. The combined power/temperature map is the key input to validate a thermal model, in both the steady state and transient case. This paper presents the current development of the platform, and provides a first validation dataset for the case of a commercial heat sink.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    https://doi.org/10.5281/zenodo.2871796.

References

  1. Amrouch, H., Henkel, J.: Lucid infrared thermography of thermally-constrained processors. In: 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pp. 347–352, July 2015. https://doi.org/10.1109/ISLPED.2015.7273538

  2. Binkert, N., et al.: The gem5 simulator. SIGARCH Comput. Archit. News 39(2), 1–7 (2011). https://doi.org/10.1145/2024716.2024718

    Article  Google Scholar 

  3. Choi, W., et al.: A compact resistor-based CMOS temperature sensor with an inaccuracy of 0.12 \(^{\circ }{C}\) (3\(\sigma \)) and a resolution FoM of 0.43\({pJK^2}\) in 65-nm CMOS. IEEE J. Solid-State Circ. 53, 3356–3367 (2018). https://doi.org/10.1109/JSSC.2018.2871622

    Article  Google Scholar 

  4. Li, D., Tan, S.X., Tirumala, M.: Architecture-level thermal behavioral characterization for multi-core microprocessors. In: 2008 Asia and South Pacific Design Automation Conference, pp. 456–461, March 2008. https://doi.org/10.1109/ASPDAC.2008.4483994

  5. Huang, W., Ghosh, S., Velusamy, S., Sankaranarayanan, K., Skadron, K., Stan, M.: HotSpot: a compact thermal modeling methodology for early-stage VLSI design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 14(5), 501–513 (2006). https://doi.org/10.1109/TVLSI.2006.876103

    Article  Google Scholar 

  6. Huang, W., Skadron, K., Gurumurthi, S., Ribando, R.J., Stan, M.R.: Differentiating the roles of IR measurement and simulation for power and temperature-aware design. In: 2009 IEEE International Symposium on Performance Analysis of Systems and Software, pp. 1–10, April 2009. https://doi.org/10.1109/ISPASS.2009.4919633

  7. Iranfar, A., et al.: Thermal characterization of next-generation workloads on heterogeneous MPSoCs, pp. 286–291, July 2017. https://doi.org/10.1109/SAMOS.2017.8344642

  8. Kahng, A., Li, B., Peh, L.S., Samadi, K.: Orion 2.0: a fast and accurate NoC power and area model for early-stage design space exploration. In: Design, Automation Test in Europe Conference Exhibition, DATE 2009, pp. 423–428, April 2009. https://doi.org/10.1109/DATE.2009.5090700

  9. Leva, A., Terraneo, F., Giacomello, I., Fornaciari, W.: Event-based power/performance-aware thermal management for high-density microprocessors. IEEE Trans. Control Syst. Technol. 26(2), 535–550 (2018). https://doi.org/10.1109/TCST.2017.2675841

    Article  Google Scholar 

  10. Li, S., Ahn, J.H., Strong, R.D., Brockman, J.B., Tullsen, D.M., Jouppi, N.P.: The McPAT framework for multicore and manycore architectures: simultaneously modeling power, area, and timing. ACM Trans. Archit. Code Optim. 10(1), 5:1–5:29 (2013). https://doi.org/10.1145/2445572.2445577

    Article  Google Scholar 

  11. Mesa-Martinez, F.J., Nayfach-Battilana, J., Renau, J.: Power model validation through thermal measurements. In: Proceedings of the 34th Annual International Symposium on Computer Architecture, ISCA 2007, pp. 302–311. ACM, New York (2007). https://doi.org/10.1145/1250662.1250700

  12. Rai, D., Yang, H., Bacivarov, I., Thiele, L.: Power agnostic technique for efficient temperature estimation of multicore embedded systems. In: Proceedings of the 2012 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2012, pp. 61–70. ACM, New York (2012). https://doi.org/10.1145/2380403.2380421

  13. Sridhar, A., Vincenzi, A., Atienza, D., Brunschwiler, T.: 3D-ICE: a compact thermal model for early-stage design of liquid-cooled ICs. IEEE Trans. Comput. 63(10), 2576–2589 (2014). https://doi.org/10.1109/TC.2013.127

    Article  MathSciNet  MATH  Google Scholar 

  14. Tarter, T.: Tools for thermal analysis: thermal test chips. IEEE CPMT (2014)

    Google Scholar 

  15. Terraneo, F.: Miosix embedded OS. http://miosix.org

  16. Terraneo, F., Leva, A., Fornaciari, W.: Event-based thermal control for high power density microprocessors. In: Fornaciari, W., Soudris, D. (eds.) Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms, pp. 107–127. Springer, Cham (2019). https://doi.org/10.1007/978-3-319-91962-1_5

    Chapter  Google Scholar 

  17. Thermal Test Vehicles. http://thermengr.com/html/thermal_test_vehicles.html

  18. Thermotest Chip. https://nanotest.eu/en/ttc

  19. TTB-6101 Socketed Thermal Test Board. http://www.thermengr.com/html/ttb-6101.html

  20. Xie, Q., Dousti, M.J., Pedram, M.: Therminator: a thermal simulator for smartphones producing accurate chip and skin temperature maps. In: 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), pp. 117–122, August 2014. https://doi.org/10.1145/2627369.2627641

  21. Zoni, D., Terraneo, F., Fornaciari, W.: A DVFS cycle accurate simulation framework with asynchronous NoC design for power-performance optimizations. J. Signal Process. Syst. 83(3), 357–371 (2016). https://doi.org/10.1007/s11265-015-0989-1

    Article  Google Scholar 

Download references

Acknowledgements

The work has been partially supported by the H2020 project RECIPE (GA 801137).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Federico Terraneo .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Terraneo, F., Leva, A., Fornaciari, W. (2019). An Open-Hardware Platform for MPSoC Thermal Modeling. In: Pnevmatikatos, D., Pelcat, M., Jung, M. (eds) Embedded Computer Systems: Architectures, Modeling, and Simulation. SAMOS 2019. Lecture Notes in Computer Science(), vol 11733. Springer, Cham. https://doi.org/10.1007/978-3-030-27562-4_13

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-27562-4_13

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-27561-7

  • Online ISBN: 978-3-030-27562-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics