Skip to main content

Dynamic EM Models for Transient Stress Evolution and Recovery

  • Chapter
  • First Online:
Long-Term Reliability of Nanometer VLSI Systems

Abstract

EM induced degradation of electric resistance in a variety of test structures is traditionally monitored by applying the DC stressing. A majority of proposed physical models describing the EM phenomenon have also assumed the DC load. At the same time all semiconductor integrated circuit (IC) chips operate with time-dependent, for example, AC or pulse, electric currents. Today’s multi/manycore microprocessors are working on different performance states and are the subject for dynamic power/thermal management schemes.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. ARM big.LITTLE Technology, http://www.arm.com/products/processors/technologies/biglittleprocessing.php

  2. J.R. Black, Electromigration-a brief survey and some recent results. IEEE Trans. Electron Devices 16(4), 338–347 (1969)

    Article  ADS  Google Scholar 

  3. I.A. Blech, Electromigration in thin aluminum films on titanium nitride. J. Appl. Phys. 47(4), 1203–1208 (1976)

    Article  ADS  Google Scholar 

  4. S. Chatterjee, M.B. Fawaz, F.N. Najm, Redundancy-aware electromigration checking for mesh power grids, in Proceedings of the International Conference on Computer Aided Design (ICCAD) (IEEE, Piscataway, 2013), pp. 540–547

    Google Scholar 

  5. H. Chen, S.X.-D. Tan, X. Huang, V. Sukharev, New electromigration modeling and analysis considering time-varying temperature and current densities, in Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC) (IEEE, Piscataway, 2015)

    Google Scholar 

  6. B.-G. Chun, G. Iannaccone, G. Iannaccone, R. Katz, G. Lee, L. Niccolini, An energy case for hybrid datacenters. SIGOPS Oper. Syst. Rev. 44(1), 76–80 (2010)

    Article  Google Scholar 

  7. H. Esmaeilzadeh, E. Blem, R. St Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling. IEEE Micro 32(3), 122–134 (2012)

    Article  Google Scholar 

  8. Failure Mechanisms and Models for Semiconductor Devices, JEDEC Publication JEP122-A, Jedec Solid State Technology Association, 2002

    Google Scholar 

  9. K. Hatanaka, T. Noguchi, K. Maeguchi, A threshold pulse width for electromigration under pulsed stress conditions, in Proceedings of Sixth International IEEE VLSI Multilevel Interconnect Conference (IEEE, Piscataway, 1989), pp. 183–189

    Google Scholar 

  10. K. Hinode, T. Furusawa, Y. Homma, Relaxation phenomenon during electromigration under pulsed current, in IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 1992), pp. 205–210

    Google Scholar 

  11. X. Huang, T. Yu, V. Sukharev, S.X.-D. Tan, Physics-based electromigration assessment for power grid networks, in Proceedings Design Automation Conference (DAC) (IEEE, Piscataway, 2014)

    Google Scholar 

  12. X. Huang, V. Sukharev, T. Kim, S.X.-D. Tan, Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing. Integration 58, 518–527 (2017). https://doi.org/10.1016/j.vlsi.2016.10.007

    Article  Google Scholar 

  13. W.R. Hunter, Self-consistent solutions for allowed interconnect current density. IEEE Trans. Electron Devices 44(2), 304–316 (1997)

    Article  ADS  Google Scholar 

  14. M.A. Korhonen, P. Bo/rgesen, K.N. Tu, C.-Y. Li, Stress evolution due to electromigration in confined metal lines. J. Appl. Phys. 73(8), 3790–3799 (1993)

    Article  ADS  Google Scholar 

  15. K.-D. Lee, Electromigration recovery and short lead effect under bipolar- and unipolar-pulse current, in IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2012), pp. 6B.3.1–6B.3.4

    Google Scholar 

  16. B.-K. Liew, N.W. Cheung, C. Hu, Projecting interconnect electromigration lifetime for arbitrary current waveforms. IEEE Trans. Electron Devices 37(5), 1343–1351 (1990)

    Article  ADS  Google Scholar 

  17. M.H. Lin, A.S. Oates, AC and pulsed-DC stress electromigration failure mechanisms in Cu interconnects, in Proceedings of the International Interconnect Technology Conference (IITC) (IEEE, Piscataway, 2013), pp. 1–3

    Google Scholar 

  18. Z. Lu, W. Huang, J. Lach, M. Stan, K. Skadron, Interconnect lifetime prediction under dynamic stress for reliability-aware design, in Proceedings of the International Conference on Computer Aided Design (ICCAD) (IEEE, Piscataway, 2004), pp. 327–334

    Google Scholar 

  19. M.A. Meyer, M. Herrmann, E. Langer, E. Zschech, In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures. Microelectron. Eng. 64, 375–382 (2002)

    Article  Google Scholar 

  20. R. Monig, R.R. Keller, C.A. Volkert, Thermal fatigue testing of thin metal films. Rev. Sci. Instrum. 75(11), 4997–5004 (2004)

    Article  ADS  Google Scholar 

  21. Y.-J. Park, K.-D. Lee, W.R. Hunter, A variable current exponent model for electromigration lifetime relaxation in short Cu interconnects, in International Electron Devices Meeting (IEDM’06) (2006), pp. 1–4

    Google Scholar 

  22. D. Shin, J. Kim, N. Chang, J. Choi, S.W. Chung, E.-Y. Chung, Energy-optimal dynamic thermal management for green computing, in Proceedings of the International Conference on Computer Aided Design (ICCAD) (IEEE, Piscataway, 2009), pp. 652–657

    Google Scholar 

  23. V. Sukharev, X. Huang, S.X.-D. Tan, Electromigration induced stress evolution under alternate current and pulse current loads. J. Appl. Phys. 118, 034504 (2015)

    Article  ADS  Google Scholar 

  24. Z. Suo, Reliability of Interconnect Structures. Comprehensive Structural Integrity, vol. 8 (Elsevier, Amsterdam, 2003)

    Chapter  Google Scholar 

  25. J. Tao, N. Cheung, C. Hu, Metal electromigration damage healing under bidirectional current stress. Appl. Phys. Lett. 14(12), 554–556 (1993)

    Google Scholar 

  26. D. Wong, M. Annavaram, KnightShift: scaling the energy proportionality wall through server-level heterogeneity, in 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE, Piscataway, 2012), pp. 119–130

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tan, S., Tahoori, M., Kim, T., Wang, S., Sun, Z., Kiamehr, S. (2019). Dynamic EM Models for Transient Stress Evolution and Recovery. In: Long-Term Reliability of Nanometer VLSI Systems. Springer, Cham. https://doi.org/10.1007/978-3-030-26172-6_5

Download citation

Publish with us

Policies and ethics