Skip to main content

Fast EM Immortality Analysis for Multi-Segment Copper Interconnect Wires

  • Chapter
  • First Online:
Long-Term Reliability of Nanometer VLSI Systems

Abstract

In this article, we present a novel and fast electromigration (EM) immortality check for general multi-segment interconnect wires. Instead of using current density as the key parameter, as in traditional EM analysis methods based on Black’s equation and the Blech limit, the new method estimates the EM-induced steady-state stress in general multi-segment copper interconnect wires based on a novel parameter, Critical EM Voltage, V Crit,EM. We show that the V Crit,EM is essentially the natural, but important, extension of the Blech limit concept, which describes the EM immortality condition for a single-segment wire, to more general multi-segment interconnect wires. The presented method, called voltage-based EM or VBEM method, mitigates the problem of current-density-based EM criteria, which can only be applied to a single wire. The new VBEM method can naturally comprehend the impact of the topology of the wire structure on EM-induced stress. As a result, this new VBEM analysis method is very amenable to addressing EM violations, as it brings new optimization capabilities to the physical design flow. The VBEM stress estimation method is based on the fundamental steady-state stress equations. This approach avoids computationally intensive numerical methods and can be implemented in CAD tools very easily, as we demonstrate on real design examples. We also show that the presented VBEM analysis method agrees with results from the finite difference method in the steady state through one example and also agrees with one published closed-form expression of steady-state stress for a special three-terminal wire case. Furthermore, we compare VBEM against the COMSOL finite element analysis tool and another published EM numerical simulator XSim, validated by measured results, which shows that VBEM agrees with both of them very well in terms of accuracy and thus further validates the presented method. We also study the impact of current crowding in practical interconnect wires on the estimated steady-state stress, which are shown to be not significant if the length of the wire is much greater than its width. An extension of the VBEM method to consider the significant current crowding effects is also shown and additionally, we analyze mesh-structured interconnect wires and demonstrate that the presented VBEM method is correct and accurate on such structures.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. A. Abbasinasab, M. Marek-Sadowska, Blech effect in interconnects: applications and design guidelines, in Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD ’15 (ACM, New York, 2015), pp. 111–118

    Google Scholar 

  2. A. Abbasinasab, M. Marek-Sadowska, Rain: a tool for reliability assessment of interconnect networks—physics to software, in Proceedings of the Conference on Design Automation, DAC’18 (ACM, New York, 2018), pp. 133:1–133:6. http://doi.acm.org/10.1145/3195970.3196099

  3. B. Bailey, Thermally challenged, in Semiconductor Engineering (2013), pp. 1–8

    Google Scholar 

  4. J.R. Black, Electromigration-a brief survey and some recent results. IEEE Trans. Electron Devices 16(4), 338–347 (1969)

    Article  ADS  Google Scholar 

  5. I.A. Blech, Electromigration in thin aluminum films on titanium nitride. J. Appl. Phys. 47(4), 1203–1208 (1976)

    Article  ADS  Google Scholar 

  6. S. Chatterjee, V. Sukharev, F.N. Najm, Power grid electromigration checking using physics-based models. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(7), 1317–1330 (2018)

    Article  Google Scholar 

  7. H. Chen, S.X.-D. Tan, V. Sukharev, X. Huang, T. Kim, Interconnect reliability modeling and analysis for multi-branch interconnect trees, in Proceedings of the Design Automation Conference (DAC) (IEEE, Piscataway, 2015)

    Google Scholar 

  8. C. Cook, Z. Sun, T. Kim, S.X.-D. Tan, Finite difference method for electromigration analysis of multi-branch interconnects, in International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD’16) (IEEE, Piscataway, 2016), pp. 1–4

    Google Scholar 

  9. Comsol multiphysics. https://www.comsol.com/ [Oct. 16, 2013]

  10. E. Demircan, M.D.Shroff, Model based method for electro-migration stress determination in interconnects, in 2014 IEEE International Reliability Physics Symposium (IEEE, Piscataway, 2014), pp. IT.5.1–IT.5.6

    Google Scholar 

  11. R. Gleixner, W. Nix, A physically based model of electromigration and stress-induced void formation in microelectronic interconnects. J. Appl. Phys. 86(4), 1932–1944 (1999)

    Article  ADS  Google Scholar 

  12. S. Hau-Riege, New methodologies for interconnect reliability assessments of integrated circuits, Ph.D. dissertation, Massachusetts Institute of Technology, 2000

    Google Scholar 

  13. C.S. Hau-Riege, A.P. Marathe, Z.S. Choi, The effect of current direction on the electromigration in short-lines with reservoirs, in IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2008), pp. 381–384

    Google Scholar 

  14. X. Huang, T. Yu, V. Sukharev, S.X.-D. Tan, Physics-based electromigration assessment for power grid networks, in Proceedings Design Automation Conference (DAC) (IEEE, Piscataway, 2014)

    Google Scholar 

  15. M.A. Korhonen, P. Bo/rgesen, K.N. Tu, C.-Y. Li, Stress evolution due to electromigration in confined metal lines. J. Appl. Phys. 73(8), 3790–3799 (1993)

    Article  ADS  Google Scholar 

  16. A. Kteyan, V. Sukharev, M.A. Meyer, E. Zschech, W.D. Nix, Microstructure effect on EM-induced degradations in dual-inlaid copper interconnects, in Proceedings of AIP Conference, vol. 945 (IEEE, Piscataway, 2007), pp. 42–55

    Google Scholar 

  17. M. Lin, A. Oates, An electromigration failure distribution model for short-length conductors incorporating passive sinks/reservoirs. IEEE Trans. Device Mater. Reliab. 13(1), 322–326 (2013)

    Article  Google Scholar 

  18. S.R. Nassif, Power grid analysis benchmarks, in Proceedings of the Asia South Pacific Design Automation Conference (ASPDAC) (IEEE, Piscataway, 2008), pp. 376–381

    Google Scholar 

  19. J.S. Pak, M. Pathak, S.K. Lim, D. Pan, Modeling of electromigration in through-silicon-via based 3D IC, in 2011 IEEE 61st Electronic Components and Technology Conference (ECTC) (IEEE, Piscataway, 2011), pp. 1420–1427

    Book  Google Scholar 

  20. J. Pak, S.K. Lim, D.Z. Pan, Electromigration study for multi-scale power/ground vias in TSV-based 3D ICs, in 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (IEEE, Piscataway, 2013), pp. 379–386

    Google Scholar 

  21. M. Pathak, J.S. Pak, D. Pan, S.K. Lim, Electromigration modeling and full-chip reliability analysis for BEOL interconnect in TSV-based 3D ICs, in 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (IEEE, Piscataway, 2011), pp. 555–562

    Google Scholar 

  22. V. Sukharev, Physically based simulation of electromigration-induced degradation mechanisms in dual-inlaid copper interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(9), 1326–1335 (2005)

    Article  Google Scholar 

  23. Z. Sun, E. Demircan, M.D. Shroff, T. Kim, X. Huang, S.X.-D. Tan, Voltage-based electromigration immortality check for general multi-branch interconnects, in Proceedings of the International Conference on Computer Aided Design (ICCAD) (IEEE, Piscataway, 2016), pp. 1–7

    Google Scholar 

  24. Z. Sun, E. Demircan, M.D. Shroff, C. Cook, S.X.-D. Tan, Fast electromigration immortality analysis for multi-segment copper interconnect wires. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(12), 3137–3150 (2018)

    Article  Google Scholar 

  25. C.V. Thompson, S.P. Hau-Riege, V.K. Andleigh, Modeling and experimental characterization of electromigration in interconnect trees, in AIP Conference Proceedings, vol. 491 (AIP, 1999), pp. 62–73

    Google Scholar 

  26. X. Wang, H. Wang, J. He, S.X.-D. Tan, Y. Cai, S. Yang, Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks, in Proceedings of Design, Automation and Test In Europe (DATE) (IEEE, Piscataway, 2017)

    Google Scholar 

  27. F.L. Wei, C.L. Gan, T.L. Tan, C.S. Hau-Riege, A.P. Marathe, J.J. Vlassak, C.V. Thompson, Electromigration-induced extrusion failures in Cu/low-k interconnects. J. Appl. Phys. 104, 023529 (2008)

    Article  ADS  Google Scholar 

  28. X. Zhao, Y. Wan, M. Scheuermann, S.K. Lim, Transient modeling of TSV-wire electromigration and lifetime analysis of power distribution network for 3D ICs, in 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (IEEE, Piscataway, 2013), pp. 363–370

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tan, S., Tahoori, M., Kim, T., Wang, S., Sun, Z., Kiamehr, S. (2019). Fast EM Immortality Analysis for Multi-Segment Copper Interconnect Wires. In: Long-Term Reliability of Nanometer VLSI Systems. Springer, Cham. https://doi.org/10.1007/978-3-030-26172-6_4

Download citation

Publish with us

Policies and ethics