Skip to main content

Aging Relaxation at Microarchitecture Level Using Special NOPs

  • Chapter
  • First Online:
  • 584 Accesses

Abstract

Negative bias temperature instability (NBTI) is a major source of transistor aging in scaled CMOS, resulting in slower devices and shorter lifetime. NBTI is strongly dependent on the input vector. Moreover, a considerable fraction of execution time of an application is spent to execute NOP (No Operation) instructions. Based on these observations, we present a novel NOP assignment to minimize NBTI effect, i.e., maximum NBTI relaxation, on the processors. Our analysis shows that NBTI degradation is more impacted by the source operands rather than instruction opcodes. Given this, we obtain the instruction, along with the operands, with minimal NBTI degradation, to be used as NOP. We also proposed two methods, software-based and hardware-based, to replace the original NOP with this maximum aging reduction NOP. Experimental results based on SPEC2000 applications running on a MIPS processor show that this method can extend the lifetime by 37% in average, while the overhead is negligible.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. J. Abella, X. Vera, A. Gonzalez, Penelope: the NBTI-aware processor, in International Symposium on Microarchitecture (IEEE, Piscataway, 2007), pp. 85–96

    Google Scholar 

  2. N.L. Binkert, R.G. Dreslinski, L.R. Hsu, K.T. Lim, A.G. Saidi, S.K. Reinhardt, The M5 simulator: modeling networked systems. IEEE Micro 26(4), 52–60 (2006).

    Article  Google Scholar 

  3. F. Firouzi, S. Kiamehr, M. Tahoori, A linear programming approach for minimum NBTI vector selection, in Proceedings of the 21st Edition of the Great Lakes Symposium on Great Lakes Symposium on VLSI (ACM, New York, 2011), pp. 53–258

    Google Scholar 

  4. F. Firouzi, S. Kiamehr, M.B. Tahoori, NBTI mitigation by optimized NOP assignment and insertion, in Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, Piscataway, 2012), pp. 218–223

    Google Scholar 

  5. X. Fu, T. Li, J. Fortes, NBTI tolerant microarchitecture design in the presence of process variation, in 2008 41st IEEE/ACM International Symposium on Microarchitecture, 2008. MICRO-41 (IEEE, Piscataway, 2008), pp. 399–410

    Google Scholar 

  6. J.L. Hennessy, D.A. Patterson, D. Goldberg, Computer Architecture: A Quantitative Approach (Morgan Kaufmann, Los Altos, 2003)

    Google Scholar 

  7. H.Q. Le, W.J. Starke, J.S. Fields, F.P. O’Connell, D.Q. Nguyen, B.J. Ronchetti, W.M. Sauer, E.M. Schwarz, M.T. Vaden, IBM power6 microarchitecture. IBM J. Res. Dev. 51(6), 639–662 (2007)

    Article  Google Scholar 

  8. L. Li, Y. Zhang, J. Yang, J. Zhao, Proactive NBTI mitigation for busy functional units in out-of-order microprocessors, in Proceedings of the Conference on Design, Automation and Test in Europe (European Design and Automation Association, 2010), pp. 411–416

    Google Scholar 

  9. T. Siddiqua, S. Gurumurthi, A multi-level approach to reduce the impact of NBTI on processor functional units, in Proceedings of the Great Lakes Symposium on VLSI (ACM, New York, 2010), pp. 67–72

    Google Scholar 

  10. Sun Microsystems, OpenSPARC T1 Microarchitecture Specifications (Sun Microsystems, Santa Clara, 2006)

    Google Scholar 

  11. A. Tiwari, J. Torrellas, Facelift: Hiding and slowing down aging in multicores, in Microarchitecture, IEEE/ACM International Symposium, 2008, pp. 129–140

    Google Scholar 

  12. Y. Wang, X. Chen, W. Wang, V. Balakrishnan, Y. Cao, Y. Xie, H. Yang, On the efficacy of input vector control to mitigate NBTI effects and leakage power, in International Symposium on Quality Electronic Design (ISQED) (IEEE, Piscataway, 2009), pp. 19–26

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tan, S., Tahoori, M., Kim, T., Wang, S., Sun, Z., Kiamehr, S. (2019). Aging Relaxation at Microarchitecture Level Using Special NOPs. In: Long-Term Reliability of Nanometer VLSI Systems. Springer, Cham. https://doi.org/10.1007/978-3-030-26172-6_19

Download citation

Publish with us

Policies and ethics