Skip to main content

Workload-Aware Static Aging Monitoring and Mitigation of Timing-Critical Flip-Flops

  • Chapter
  • First Online:
Long-Term Reliability of Nanometer VLSI Systems

Abstract

Unlike dynamic BTI which involves both stress and recovery periods, long periods of inactivity in parts of the circuit can result in static BTI (S-BTI ) stress. This phenomenon can accelerate the aging effect on circuit delay degradation. The delay degradation due to S-BTI stress of a few hours on logic circuits can be equivalent to D-BTI stress for 1 year. The critical point of operation of a circuit is when the circuit enters a dynamic phase after a long duration of static-stress phase. A worst-case timing analysis should be carried out considering this critical point of operation. Techniques have therefore been presented to consider this effect on clock-gated circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. J. Abella, X. Vera, A. Gonzalez, Penelope: the NBTI-aware processor, in International Symposium on Microarchitecture (IEEE, Piscataway, 2007), pp. 85–96

    Google Scholar 

  2. H. Abrishami, S. Hatami, M. Pedram, Multi-corner, energy-delay optimized, NBTI-aware flip-flop design, in International Symposium on Quality Electronic Design (ISQED) (IEEE, Piscataway, 2010), pp. 652–659

    Google Scholar 

  3. P. Bernardi, L.M. Ciganda, E. Sanchez, M.S. Reorda, MIHST: a hardware technique for embedded microprocessor functional on-line self-test. Trans. Comput. 63(11), 2760–2771 (2014)

    Article  MathSciNet  Google Scholar 

  4. D.R. Bild, R.P. Dik, G.E. Bok, Static NBTI reduction using internal node control. ACM Trans. Des. Autom. Electron. Syst. 17(4), 45 (2012)

    Article  Google Scholar 

  5. L. Chen, S. Dey, Software-based self-testing methodology for processor cores. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(3), 369–380 (2001)

    Article  Google Scholar 

  6. M. Chen, V. Reddy, S. Krishnan, V. Srinivasan, Y. Cao, Asymmetric aging and workload sensitive bias temperature instability sensors. IEEE Des. Test Comput. 29(5), 18–26 (2012)

    Article  Google Scholar 

  7. N.K. Choudhary, S.V. Wadhavkar, T.A. Shah, H. Mayukh, J. Gandhi, B.H. Dwiel, S. Navada, H.H. Najaf-abadi, E. Rotenberg, FabScalar: composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template, in ACM SIGARCH Computer Architecture News, vol. 39 (IEEE, Piscataway, 2011), pp. 11–22

    Google Scholar 

  8. Cortex A9 Processor, Technical reference manual, in Revisio r4p1 ed. ARM (2012)

    Google Scholar 

  9. M. Denais, V. Huard, C. Parthasarathy, G. Ribes, F. Perrier, N. Revil, A. Bravaix, Interface trap generation and hole trapping under NBTI and PBTI in advanced CMOS technology with a 2-nm gate oxide. IEEE Trans. Device Mater. Reliab. 4(4), 715–722 (2004)

    Article  Google Scholar 

  10. M. Ershov, S. Saxena, H. Karbasi, S. Winters, S. Minehane, J. Babcock, R. Lindley, P. Clifton, M. Redford, A. Shibkov, Dynamic recovery of negative bias temperature instability in p-type metal–oxide–semiconductor field-effect transistors. Appl. Phys. Lett. 83(8), 1647–1649 (2003)

    Article  ADS  Google Scholar 

  11. M.S. Golanbari, S. Kiamehr, M. Ebrahimi, M.B. Tahoori, Aging guardband reduction through selective flip-flop optimization, in 2015 20th IEEE European Test Symposium (ETS) (IEEE, Piscataway, 2015), pp. 1–6

    Book  Google Scholar 

  12. M.S. Golanbari, S. Kiamehr, M.B. Tahoori, S. Nassif, Analysis and optimization of flip-flops under process and runtime variations, in 2015 16th International Symposium on Quality Electronic Design (ISQED) (IEEE, Piscataway, 2015), pp. 191–196

    Google Scholar 

  13. M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, R.B. Brown, MiBench: a free, commercially representative embedded benchmark suite, in International Workshop on Workload Characterization (IEEE, Piscataway, 2001), pp. 3–14.

    Google Scholar 

  14. B. Kaczer, T. Grasser, J. Franco, M. Toledano-Luque, P.J. Roussel, M. Cho, E. Simoen, G. Groeseneken, Recent trends in bias temperature instability. J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater. Process. Meas. Phenom. 29(1), 01AB01 (2011)

    Google Scholar 

  15. R.M. Karp, Reducibility Among Combinatorial Problems (Springer, Berlin, 1972)

    Book  Google Scholar 

  16. A. Kerber, P. Srinivasan, S. Cimino, P. Paliwoda, S. Chandrashekhar, Z. Chbili, S. Uppal, R. Ranjan, M.-I. Mahmud, D. Singh et al., Device reliability metric for end-of-life performance optimization based on circuit level assessment, in 2017 International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2017), pp. 2D–3

    Google Scholar 

  17. V.B. Kleeberger, M. Barke, C. Werner, D. Schmitt-Landsiedel, U. Schlichtmann, A compact model for NBTI degradation and recovery under use-profile variations and its application to aging analysis of digital integrated circuits. Microelectron. Reliab. 54(6), 1083–1089 (2014)

    Article  Google Scholar 

  18. N. Kranitis, A. Paschalis, D. Gizopoulos, G. Xenoulis, Software-based self-testing of embedded processors. Trans. Comput. 54(4), 461–475 (2005)

    Article  Google Scholar 

  19. L. Lai, V. Chandra, R. Aitken, P. Gupta, BTI-Gater: an aging-resilient clock gating methodology. IEEE J. Emerging Sel. Top. Circuits Syst. 4(2), 180–189 (2014)

    Article  ADS  Google Scholar 

  20. A.R. Lebeck, D.A. Wood, Cache profiling and the SPEC benchmarks: a case study. Computer 27(10), 15–26 (1994)

    Article  Google Scholar 

  21. C. Lin, Y.-T. Wang, S.-S. Yang, Y.-L. Wu, Analyzing the BTI effect on multi-bit retention registers, in Intelligent Systms and Applications: Proceedings of the International Computer Symposium (ICS), vol. 274 (OS Press, Amsterdam, 2015), p. 269

    Google Scholar 

  22. L.P.U. Manual, Gaisler research. Version 1, 23 (2004)

    Google Scholar 

  23. Nangate open cell library. http://www.nangate.com/

  24. C. Nunes, P.F. Butzen, A.I. Reis, R.P. Ribas, BTI, HCI and TDDB aging impact in flip-flops. Microelectron. Reliab. 53(9), 1355–1359 (2013)

    Article  Google Scholar 

  25. F. Oboril, J. Ewert, M.B. Tahoori, High-resolution online power monitoring for modern microprocessors, in Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (IEEE, Piscataway, 2015), pp. 265–268

    Google Scholar 

  26. V.G. Rao, H. Mahmoodi, Analysis of reliability of flip-flops under transistor aging effects in nano-scale CMOS technology, in International Conference on Computer Design (ICCD) (IEEE, Piscataway, 2011), pp. 439–440

    Google Scholar 

  27. SPARC, http://www.gaisler.com/doc/sparcv8.pdf

  28. J.B. Velamala, V. Ravi, Y. Cao, Failure diagnosis of asymmetric aging under NBTI, in International Conference on Computer-Aided Design (ICCAD) (IEEE, Piscataway, 2011), pp. 428–433

    Google Scholar 

  29. J.B. Velamala, K.B. Sutaria, T. Sato, Y. Cao, Aging statistics based on trapping/detrapping: silicon evidence, modeling and long-term prediction, in 2012 International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2012), p. 2F-2

    Google Scholar 

  30. A. Vijayan, S. Kiamehr, F. Oboril, K. Chakrabarty, M.B. Tahoori, Workload-aware static aging monitoring and mitigation of timing-critical flip-flops. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(10), 2098–2110 (2018)

    Article  Google Scholar 

  31. Y. Wang, X. Chen, W. Wang, Y. Cao, Y. Xie, H. Yang, Gate replacement techniques for simultaneous leakage and aging optimization, in Proceedings of the Conference on Design, Automation and Test in Europe (IEEE, Piscataway, 2009), pp. 328–333

    Google Scholar 

  32. Y. Wang, X. Chen, W. Wang, Y. Cao, Y. Xie, H. Yang, Leakage power and circuit aging cooptimization by gate replacement techniques. IEEE Trans. Very Large Scale Integr. Syst. 99, 1–14 (2011)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tan, S., Tahoori, M., Kim, T., Wang, S., Sun, Z., Kiamehr, S. (2019). Workload-Aware Static Aging Monitoring and Mitigation of Timing-Critical Flip-Flops. In: Long-Term Reliability of Nanometer VLSI Systems. Springer, Cham. https://doi.org/10.1007/978-3-030-26172-6_18

Download citation

Publish with us

Policies and ethics