Skip to main content

Introduction

  • Chapter
  • First Online:
Long-Term Reliability of Nanometer VLSI Systems

Abstract

The first part of this book focused on interconnect aging effects. In this part we focus on aging effects in active components and in particular transistors. This chapter introduces an overview of transistor aging provoked by different wearout physical effects such as Bias Temperature Instability (BTI), Hot Carrier Injection (HCI), Random Telegraph Noise (RTN), and Time Dependent Dielectric Breakdown (TDDB). We discuss how this transistor aging effect is affected by various process and runtime variation effects and the impact of technology down-scaling on transistor aging reliability.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. D. Angot, V. Huard, L. Rahhal, A. Cros, X. Federspiel, A. Bajolet, Y. Carminati, M. Saliva, E. Pion, F. Cacho et al., BTI variability fundamental understandings and impact on digital logic by the use of extensive dataset, in Proceedings of the IEEE International Electron Devices Meeting (IEDM), (IEEE, Piscataway, 2013), pp. 15–4

    Google Scholar 

  2. J.B. Bernstein, M. Gurfinkel, X. Li, J. Walters, Y. Shapira, M. Talmor, Electronic circuit reliability modeling. Microelectron. Reliab. 46(12), 1957–1979 (2006)

    Article  Google Scholar 

  3. S. Bhardwaj, W. Wang, R. Vattikonda, Y. Cao, S. Vrudhula, Predictive modeling of the NBTI effect for reliable design, in IEEE Custom Integrated Circuits Conference (IEEE, Piscataway, 2006), pp. 189–192

    Google Scholar 

  4. A.J. Bhavnagarwala, X. Tang, J.D. Meindl, The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid State Circuits 36(4), 658–665 (2001)

    Article  ADS  Google Scholar 

  5. A. Bravaix, C. Guerin, V. Huard, D. Roy, J.-M. Roux, E. Vincent, Hot-carrier acceleration factors for low power management in DC-AC stressed 40 nm NMOS node at high temperature, in 2009 IEEE International Reliability Physics Symposium. (IEEE, Piscataway, 2009), pp. 531–548

    Google Scholar 

  6. F. Cacho, P. Mora, W. Arfaoui, X. Federspiel, V. Huard, HCI/BTI coupled model: the path for accurate and predictive reliability simulations, in 2014 IEEE International Reliability Physics Symposium (IEEE, Piscataway, 2014), pp. 5D.4.1–5D.4.5

    Book  Google Scholar 

  7. J. Campbell, J. Qin, K. Cheung, L. Yu, J. Suehle, A. Oates, K. Sheng, Random telegraph noise in highly scaled nMOSFETs, in 2009 IEEE International Reliability Physics Symposium (IEEE, Piscataway, 2009), pp. 382–388

    Book  Google Scholar 

  8. K.-L. Chen, S.A. Saller, I.A. Groves, D.B. Scott, Reliability effects on MOS transistors due to hot-carrier injection. IEEE J. Solid State Circuits 20(1), 306–313 (1985)

    Article  ADS  Google Scholar 

  9. X. Chen, Y. Wang, Y. Cao, H. Yang, Statistical analysis of random telegraph noise in digital circuits, in 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, Piscataway, 2014), pp. 161–166

    Google Scholar 

  10. M. Cho, J.-D. Lee, M. Aoulaiche, B. Kaczer, P. Roussel, T. Kauerauf, R. Degraeve, J. Franco, L. Ragnarsson, G. Groeseneken, Insight into N/PBTI mechanisms in sub-1-nm-EOT devices. IEEE Trans. Electron Devices 59(8), 2042–2048 (2012)

    Article  ADS  Google Scholar 

  11. M. Choudhury, V. Chandra, K. Mohanram, R. Aitken, Analytical model for TDDB-based performance degradation in combinational logic, in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2010 (IEEE, Piscataway, 2010), pp. 423–428

    Google Scholar 

  12. M. Denais, C. Parthasarathy, G. Ribes, Y. Rey-Tauriac, N. Revil, A. Bravaix, V. Huard, F. Perrier, On-the-fly characterization of NBTI in ultra-thin gate oxide PMOSFET’s, in IEDM Technical Digest. IEEE International. Electron Devices Meeting, 2004 (IEEE, Piscataway, 2004), pp. 109–112

    Google Scholar 

  13. D. DiMaria, E. Cartier, Mechanism for stress-induced leakage currents in thin silicon dioxide films. J. Appl. Phys. 78(6), 3883–3894 (1995)

    Article  ADS  Google Scholar 

  14. R. Doering, Y. Nishi, Limits of integrated-circuit manufacturing. Proc. IEEE 89(3), 375–393 (2001)

    Article  Google Scholar 

  15. J. Fang, S.S. Sapatnekar, Incorporating hot-carrier injection effects into timing analysis for large circuits. IEEE Trans. Very Large Scale Integr. VLSI Syst. 22(12), 2738–2751 (2014)

    Article  Google Scholar 

  16. J. Franco, B. Kaczer, M. Toledano-Luque, P.J. Roussel, J. Mitard, L.-A. Ragnarsson, L. Witters, T. Chiarella, M. Togo, N. Horiguchi et al., Impact of single charged gate oxide defects on the performance and scaling of nanoscaled FETs, in 2012 IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2012), pp. 5A–4

    Google Scholar 

  17. J. Franco, B. Kaczer, P. Roussel, J. Mitard, S. Sioncke, L. Witters, H. Mertens, T. Grasser, G. Groeseneken, Understanding the suppressed charge trapping in relaxed- and strained-Ge/SiO2/HfO2 pMOSFETs and implications for the screening of alternative high-mobility substrate/dielectric CMOS gate stacks, in 2013 IEEE International Electron Devices Meeting (IEDM) (IEEE, Piscataway, 2013), pp. 15.2.1–15.2.4

    Google Scholar 

  18. K. Fukuda, Y. Shimizu, K. Amemiya, M. Kamoshida, C. Hu, Random telegraph noise in flash memories-model and technology scaling, in IEEE International Electron Devices Meeting, 2007, IEDM 2007 (IEEE, Piscataway, 2007), pp. 169–172

    Google Scholar 

  19. L. Gerrer, S.M. Amoroso, P. Asenov, J. Ding, B. Cheng, F. Adamu-Lema, S. Markov, A. Asenov, D. Reid, C. Millar, Interplay between statistical reliability and variability: a comprehensive transistor-to-circuit simulation technology, in Proceedings of Reliability Physics Symposium (IRPS) A, vol. 3 (IEEE, Piscataway, 2013)

    Google Scholar 

  20. L. Gerrer, J. Ding, S.M. Amoroso, F. Adamu-Lema, R. Hussin, D. Reid, C. Millar, A. Asenov, Modelling RTN and BTI in nanoscale MOSFETS from device to circuit: a review. Microelectron. Reliab. 54(4), 682–697 (2014)

    Article  Google Scholar 

  21. A. Ghetti, C.M. Compagnoni, F. Biancardi, A. Lacaita, S. Beltrami, L. Chiavarone, A. Spinelli, A. Visconti, Scaling trends for random telegraph noise in deca-nanometer flash memories, in IEEE International Electron Devices Meeting, IEDM 2008 (IEEE, Piscataway, 2008), pp. 1–4

    Google Scholar 

  22. T. Grasser, B. Kaczer, W. Goes, H. Reisinger, T. Aichinger, P. Hehenberger, P.-J. Wagner, F. Schanovsky, J. Franco, P. Roussel et al., Recent advances in understanding the bias temperature instability, in 2010 IEEE International Electron Devices Meeting (IEDM) (IEEE, Piscataway, 2010), pp. 4–4

    Google Scholar 

  23. T. Grasser, P.-J. Wagner, H. Reisinger, T. Aichinger, G. Pobegen, M. Nelhiebel, B. Kaczer, Analytic modeling of the bias temperature instability using capture/emission time maps, in 2011 IEEE International Electron Devices Meeting (IEDM) (IEEE, Piscataway, 2011), pp. 27.4

    Google Scholar 

  24. T. Grasser, B. Kaczer, W. Goes, H. Reisinger, T. Aichinger, P. Hehenberger, P.-J. Wagner, F. Schanovsky, J. Franco, M. T. Luque et al., The paradigm shift in understanding the bias temperature instability: from reaction–diffusion to switching oxide traps. IEEE Trans. Electron Devices 58(11), 3652–3666 (2011)

    Article  ADS  Google Scholar 

  25. S. Gupta, S. S. Sapatnekar, BTI-aware design using variable latency units, in 2012 17th Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, Piscataway, 2012), pp. 775–780

    Google Scholar 

  26. V. Huard, C. Parthasarathy, C. Guerin, T. Valentin, E. Pion, M. Mammasse, N. Planes, L. Camus, NBTI degradation: from transistor to SRAM arrays, in IEEE International Reliability Physics Symposium, IRPS 2008 (IEEE, Piscataway, 2008), pp. 289–300

    Book  Google Scholar 

  27. V. Huard, C. Parthasarathy, A. Bravaix, T. Hugel, C. Guérin, E. Vincent, Design-in-reliability approach for NBTI and hot-carrier degradations in advanced nodes. IEEE Trans. Device Mater. Reliab. 4(7), 558–570 (2007)

    Article  Google Scholar 

  28. V. Huard, E. Pion, F. Cacho, D. Croain, V. Robert, R. Delater, P. Mergault, S. Engels, L. Anghel, N.R. Amador, A predictive bottom-up hierarchical approach to digital system reliability, in IEEE International Reliability Physics Symposium (IRPS’12) (IEEE Computer Society, Washington, 2012), pp. 4B–1

    Google Scholar 

  29. K. Ito, T. Matsumoto, S. Nishizawa, H. Sunagawa, K. Kobayashi, H. Onodera, The impact of RTN on performance fluctuation in CMOS logic circuits. Population 50, 100 (2011)

    Google Scholar 

  30. K. Ito, T. Matsumoto, S. Nishizawa, H. Sunagawa, K. Kobayashi, H. Onodera, Modeling of random telegraph noise under circuit operation—simulation and measurement of RTN-induced delay fluctuation, in 2011 12th International Symposium on Quality Electronic Design (ISQED) (IEEE, Piscataway, 2011), pp. 1–6

    Google Scholar 

  31. International technology roadmap for semiconductors (ITRS), 2015 edition, 2015. http://public.itrs.net

  32. B. Kaczer, R. Degraeve, R. O’connor, P. Roussel, G. Groeseneken, Implications of progressive wear-out for lifetime extrapolation of ultra-thin (EOT 1 nm) SiON films, in International Electron Devices Meeting (IEEE, Piscataway, 2004), pp. 713–716

    Google Scholar 

  33. B. Kaczer, T. Grasser, P.J. Roussel, J. Franco, R. Degraeve, L.-A. Ragnarsson, E. Simoen, G. Groeseneken, H. Reisinger, Origin of NBTI variability in deeply scaled pFETs, in 2010 IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2010), pp. 26–32

    Book  Google Scholar 

  34. B. Kaczer, S. Mahato, V. V. de Almeida Camargo, M. Toledano-Luque, P.J. Roussel, T. Grasser, F. Catthoor, P. Dobrovolny, P. Zuber, G. Wirth et al., Atomistic approach to variability of bias-temperature instability in circuit simulations, in 2011 IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2011), pp. XT–3

    Google Scholar 

  35. S.V. Kumar, C.H. Kim, S.S. Sapatnekar, A finite-oxide thickness-based analytical model for negative bias temperature instability. IEEE Trans. Device Mater. Reliab. 9(4), 537–556 (2009)

    Article  Google Scholar 

  36. K.T. Lee, W. Kang, E.-A. Chung, G. Kim, H. Shim, H. Lee, H. Kim, M. Choe, N.-I. Lee, A. Patel et al., Technology scaling on high-k & metal-gate FinFET BTI reliability, in 2013 IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2013), pp. 2D-1

    Google Scholar 

  37. M. Luo, R. Wang, S. Guo, J. Wang, J. Zou, R. Huang, Impacts of random telegraph noise (RTN) on digital circuits. IEEE Trans. Electron Devices 62(6), 1725–1732 (2015)

    Article  ADS  Google Scholar 

  38. S. Mahapatra, V. Huard, A. Kerber, V. Reddy, S. Kalpat, A. Haggag, Universality of NBTI-from devices to circuits and products, in 2014 IEEE International Reliability Physics Symposium (IEEE, Piscataway, 2014), pp. 3B-1

    Google Scholar 

  39. J. Martin-Martinez, J. Diaz, R. Rodriguez, M. Nafria, X. Aymerich, E. Roca, F. Fernandez, A. Rubio, Characterization of random telegraph noise and its impact on reliability of SRAM sense amplifiers, in 2014 5th European Workshop on CMOS Variability (VARI) (IEEE, Piscataway, 2014), pp. 1–6

    Google Scholar 

  40. T. Matsukawa, Y. Liu, W. Mizubayashi, J. Tsukada, H. Yamauchi, K. Endo, Y. Ishikawa, S. O’uchi, H. Ota, S. Migita, Y. Morita, M. Masahara, Suppressing Vt and Gm variability of FinFETs using amorphous metal gates for 14 nm and beyond, in 2012 IEEE International Electron Devices Meeting (IEDM) (IEEE, Piscataway, 2012), pp. 8.2.1–8.2.4

    Google Scholar 

  41. T. Matsumoto, K. Kobayashi, H. Onodera, Impact of random telegraph noise on CMOS logic delay uncertainty under low voltage operation, in 2012 IEEE International Electron Devices Meeting (IEDM) (IEEE, Piscataway, 2012), p. 25-6

    Google Scholar 

  42. T. Matsumoto, K. Kobayashi, H. Onodera, Impact of random telegraph noise on CMOS logic circuit reliability, in 2014 IEEE Proceedings of the Custom Integrated Circuits Conference (CICC) (IEEE, Piscataway, 2014), pp. 1–8

    Book  Google Scholar 

  43. J. McPherson, H. Mogul, Underlying physics of the thermochemical E model in describing low-field time-dependent dielectric breakdown in SIO2 thin films. J. Appl. Phys. 84, 1513–1523 (1998)

    Article  ADS  Google Scholar 

  44. T. Naphade, N. Goel, P. Nair, S. Mahapatra, Investigation of stochastic implementation of reaction diffusion (RD) models for NBTI related interface trap generation, in 2013 IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2013), pp. XT-5

    Google Scholar 

  45. Predictive Technology Model. http://www.eas.asu.edu/~ptm/

  46. S. Realov, K.L. Shepard, Analysis of random telegraph noise in 45-nm CMOS using on-chip characterization system. IEEE Trans. Electron Devices 60(5), 1716–1722 (2013)

    Article  ADS  Google Scholar 

  47. V. Reddy, J.M. Carulli, A.T. Krishnan, W. Bosch, B. Burgess, Impact of negative bias temperature instability on product parametric drift, in International Test Conference (ITC) (IEEE, Piscataway, 2004), pp. 148–155

    Google Scholar 

  48. H. Reisinger, T. Grasser, W. Gustin, C. Schlunder, The statistical analysis of individual defects constituting NBTI and its implications for modeling DC-and AC-stress, in 2010 IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2010), pp. 7–15

    Google Scholar 

  49. M. Salvia, Dedicated circuits for study aging induced mechanisms in advanced CMSO technologies, design and measures, Ph.D. Dissertation, 2015

    Google Scholar 

  50. S.S. Sapatnekar, Overcoming variations in nanometer-scale technologies. IEEE J. Emerging Sel. Top. Circuits Syst. 1(1), 5–18 (2011)

    Article  ADS  Google Scholar 

  51. K.F. Schuegraf, C. Hu, Hole injection SIO 2 breakdown model for very low voltage lifetime extrapolation. IEEE Trans. Electron Devices 41(5), 761–767 (1994)

    Article  ADS  Google Scholar 

  52. D. Sengupta, S.S. Sapatnekar, Predicting circuit aging using ring oscillators, in 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, Piscataway, 2014), pp. 430–435

    Google Scholar 

  53. D. Sengupta, S.S. Sapatnekar, Estimating circuit aging due to BTI and HCI using ring-oscillator-based sensors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(10), 1688–1701 (2017)

    Article  Google Scholar 

  54. C. Shen, M.-F. Li, C. Foo, T. Yang, D. Huang, A. Yap, G. Samudra, Y. Yeo, Characterization and physical origin of fast Vth transient in NBTI of pMOSFETs with SiON dielectric, in International Electron Devices Meeting, IEDM’06 (IEEE, Piscataway, 2006), pp. 1–4

    Google Scholar 

  55. J.H. Stathis, M. Wang, K. Zhao, Reliability of advanced high-k/metal-gate n-FET devices. Microelectron. Reliab. 50(9), 1199–1202 (2010)

    Article  Google Scholar 

  56. E. Takeda, C.Y.-W. Yang, A. Miura-Hamada, Hot-Carrier Effects in MOS Devices (Academic, London, 1995)

    Google Scholar 

  57. K. Takeuchi, T. Nagumo, S. Y. Gawa, K. Imai, Y. Hayashi, Single-charge-based modeling of transistor characteristics fluctuations based on statistical measurement of RTN amplitude, in 2009 Symposium on VLSI Technology (IEEE, Piscataway, 2009), pp. 54–55

    Google Scholar 

  58. S. Taylor et al., Power7+ : IBM’s next generation power microprocessor, in Hot Chips, vol. 24, 2012

    Google Scholar 

  59. N. Tega, H. Miki, F. Pagette, D. Frank, A. Ray, M. Rooks, W. Haensch et al., Increasing threshold voltage variation due to random telegraph noise in FETs as gate lengths scale to 20 nm, in 2009 Symposium on VLSI Technology (IEEE, Piscataway, 2009), pp. 50–51

    Google Scholar 

  60. N. Tega, H. Miki, Z. Ren, C. Emic, Y. Zhu, D. Frank, J. Cai, M. Guillorn, D.-G. Park, W. Haensch et al., Reduction of random telegraph noise in high-k/metal-gate stacks for 22 nm generation FETs, in 2009 IEEE International Electron Devices Meeting (IEDM) (IEEE, Piscataway, 2009), pp. 1–4

    Google Scholar 

  61. N. Tega, H. Miki, Z. Ren, C. P. D’Emic, Y. Zhu, D. J. Frank, M. A. Guillorn, D.-G. Park, W. Haensch, K. Torii, Impact of HK/MG stacks and future device scaling on RTN, in 2011 IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2011), pp. 6A-5

    Google Scholar 

  62. A. Tiwari, J. Torrellas, Facelift: Hiding and slowing down aging in multicores, in Microarchitecture, IEEE/ACM International Symposium, 2008, pp. 129–140

    Google Scholar 

  63. M. Toledano-Luque, B. Kaczer, J. Franco, P. Roussel, M. Bina, T. Grasser, M. Cho, P. Weckx, G. Groeseneken, Degradation of time dependent variability due to interface state generation, in 2013 Symposium on VLSI Technology (VLSIT) (IEEE, Piscataway, 2013), pp. T190–T191

    Google Scholar 

  64. A. Veloso, G. Boccardi, L.-A. Ragnarsson, Y. Higuchi, J. Lee, E. Simoen, P. Roussel, M. Cho, S. Chew, T. Schram, H. Dekkers, A. Van Ammel, T. Witters, S. Brus, A. Dangol, V. Paraschiv, E. Vecchio, X. Shi, F. Sebaai, K. Kellens, N. Heylen, K. Devriendt, O. Richard, H. Bender, T. Chiarella, H. Arimura, A. Thean, N. Horiguchi, Highly scalable effective work function engineering approach for multi-VT modulation of planar and FinFET-based RMG high-k last devices for (sub-) 22 nm nodes, in 2013 Symposium on VLSI Technology (VLSIT) (IEEE, Piscataway, 2013), pp. T194–T195

    Google Scholar 

  65. X. Wang, P.R. Rao, A. Mierop, A.J. Theuwissen, Random telegraph signal in CMOS image sensor pixels, in International Electron Devices Meeting, IEDM’06 (IEEE, Piscataway, 2006), pp. 1–4

    Google Scholar 

  66. W. Wang, V. Reddy, A.T. Krishnan, R. Vattikonda, S. Krishnan, Y. Cao, Compact modeling and simulation of circuit reliability for 65-nm CMOS technology. IEEE Trans. Device Mater. Reliab. 7(4), 509–517 (2007)

    Article  Google Scholar 

  67. M. Wang, R. Muralidhar, J.H. Stathis, B.P. Linder, H. Jagannathan, J. Faltermeier, Superior PBTI reliability for SOI FinFET technologies and its physical understanding. IEEE Electron Device Lett. 34(7), 837–839 (2013)

    Article  ADS  Google Scholar 

  68. P. Weckx, B. Kaczer, M. Toledano-Luque, T. Grasser, P.J. Roussel, H. Kukner, P. Raghavan, F. Catthoor, G. Groeseneken, Defect-based methodology for workload-dependent circuit lifetime projections-application to SRAM, in 2013 IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2013), p. 3A-4

    Google Scholar 

  69. E.Y. Wu, J. Suné, Power-law voltage acceleration: a key element for ultra-thin gate oxide reliability. Microelectron. Reliab. 45(12), 1809–1834 (2005)

    Article  Google Scholar 

  70. E.Y. Wu, W. Abadeer, L.-K. Han, S.-H. Lo, G. Hueckel, Challenges for accurate reliability projections in the ultra-thin oxide regime, in 1999 IEEE International Reliability Physics Symposium Proceedings. 37th Annual (IEEE/IRPS) (IEEE, Piscataway, 1999), pp. 57–65

    Google Scholar 

  71. M. Yamaoka, H. Miki, A. Bansal, S. Wu, D. Frank, E. Leobandung, K. Torii, Evaluation methodology for random telegraph noise effects in SRAM arrays, in 2011 International Electron Devices Meeting (IEEE, Piscataway, 2011)

    Google Scholar 

  72. W.-K. Yeh, W.-H. Wang, Y.-K. Fang, F.-L. Yang, Temperature dependence of hot-carrier-induced degradation in 0.1 μm SOI nMOSFETs with thin oxide. IEEE Electron Device Lett. 23(7), 425–427 (2002)

    Article  ADS  Google Scholar 

  73. X. Yuan, T. Shimizu, U. Mahalingam, J. Brown, K. Habib, D. Tekleab, T.-C. Su, S. Satadru, C. Olsen, H.-W. Lee, L.-H. Pan, T. Hook, J.-P. Han, J.-E. Park, M.-H. Na, K. Rim, Transistor mismatch properties in deep-submicrometer CMOS technologies. IEEE Trans. Electron Devices 58(2), 335–342 (2011)

    Article  ADS  Google Scholar 

  74. S. Zafar, Y. Kim, V. Narayanan, C. Cabral, V. Paruchuri, B. Doris, J. Stathis, A. Callegari, M. Chudzik, A comparative study of NBTI and PBTI (charge trapping) in SIO2/HFO2 stacks with FUSI, TiN, Re gates, in VLSI Technology, 2006. Digest of Technical Papers (IEEE, Piscataway, 2006)

    Google Scholar 

  75. B. Zimmer, O. Thomas, S.O. Toh, T. Vincent, K. Asanovic, B. Nikolic, Joint impact of random variations and RTN on dynamic writeability in 28 nm bulk and FDSOI SRAM, in 2014 44th European Solid State Device Research Conference (ESSDERC) (IEEE, Piscataway, 2014), pp. 98–101

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Tan, S., Tahoori, M., Kim, T., Wang, S., Sun, Z., Kiamehr, S. (2019). Introduction. In: Long-Term Reliability of Nanometer VLSI Systems. Springer, Cham. https://doi.org/10.1007/978-3-030-26172-6_13

Download citation

Publish with us

Policies and ethics