Abstract
Reliability has become a significant challenge for design of current nanometer integrated circuits (ICs). Reliability degradation caused by aging effects are becoming limiting constraints in emerging computing and communication platforms due to increased failure rates from the continuous transistor scaling, increasing process variations, and aggressive power reductions. The introduction of new materials, processes, and devices coupled with voltage scaling limitations and increasing power density will impose many new reliability challenges.
This is a preview of subscription content, log in via an institution.
Buying options
Tax calculation will be finalised at checkout
Purchases are for personal use only
Learn about institutional subscriptionsReferences
H. Aydin, R. Melhem, D. Mosse, P. Mejia-Alvarez, Power-aware scheduling for periodic real-time tasks. IEEE Trans. Comput. 53(5), 584–600 (2004)
B. Bailey, Thermally challenged, in Semiconductor Engineering (Sperling Media Group LLC, 2013), pp. 1–8
J.R. Black, Electromigration-a brief survey and some recent results. IEEE Trans. Electron Devices 16(4), 338–347 (1969)
I.A. Blech, Electromigration in thin aluminum films on titanium nitride. J. Appl. Phys. 47(4), 1203–1208 (1976)
J.-J. Chen, T.-W. Kuo, Multiprocessor energy-efficient scheduling for real-time tasks with different power characteristics, in International Conference on Parallel Processing, 2005, ICPP 2005 (IEEE, Piscataway, 2005), pp. 13–20
J.-J. Chen, T.-W. Kuo, Procrastination determination for periodic real-time tasks in leakage-aware dynamic voltage scaling systems, in IEEE/ACM International Conference on Computer-Aided Design, 2007. ICCAD 2007 (IEEE, Piscataway, 2007), pp. 289–294
A. Das, R.A. Shafik, G.V. Merrett, B.M. Al-Hashimi, A. Kumar, B. Veeravalli, Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems, in Proceedings of the 51st Annual Design Automation Conference, DAC ’14 (ACM, New York, 2014), pp. 170:1–170:6. http://doi.acm.org/10.1145/2593069.2593199
H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in Proceedings of the 38th Annual International Symposium on Computer Architecture, ISCA ’11 (ACM, New York, 2011), pp. 365–376
Exascale computing initiative update, 2012 United States, Department of Energy, 2012, https://science.energy.gov/~/media/ascr/ascac/pdf/meetings/aug12/2012-ECI-ASCAC-v4.pdf
S. Feng, S. Gupta, A. Ansari, S. Mahlke, Maestro: orchestrating lifetime reliability in chip multiprocessors, in Proceedings of the 5th International Conference on High Performance Embedded Architectures and Compilers, HiPEAC’10 (Springer, Berlin, 2010), pp. 186–200. http://dx.doi.org/10.1007/978-3-642-11515-8_15
Y. Ge, Q. Qiu, Dynamic thermal management for multimedia applications using machine learning, in Proceedings of the 48th Design Automation Conference, DAC ’11 (ACM, New York, 2011), pp. 95–100. http://doi.acm.org/10.1145/2024724.2024746
J.N. Glosli, D.F. Richards, K.J. Caspersen, R.E. Rudd, J.A. Gunnels, F.H. Streitz, Extending stability beyond CPU millennium: a micron-scale atomistic simulation of Kelvin-Helmholtz instability, in Proceedings of the 2007 ACM/IEEE Conference on Supercomputing, SC ’07 (IEEE, Piscataway, 2007), pp. 1–11
M. Hauschildt, C. Hennesthal, G. Talut, O. Aubel, M. Gall, K.B. Yeap, E. Zschech, Electromigration early failure void nucleation and growth phenomena in Cu And Cu(Mn) interconnects, in IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2013), pp. 2C.1.1–2C.1.6
L. Huang, F. Yuan, Q. Xu, On task allocation and scheduling for lifetime extension of platform-based MPSoC designs. IEEE Trans. Parallel Distrib. Syst. 22(12), 2088–2099 (2011)
International technology roadmap for semiconductors (ITRS), 2014 update, 2014. http://public.itrs.net
E. Karl, D. Blaauw, D. Sylvester, T. Mudge, Reliability modeling and management in dynamic microprocessor-based systems, in Proceedings Design Automation Conference (DAC) (IEEE, Piscataway, 2006), pp. 1057–1060
T. Kim, X. Huang, V.S. H.-B. CHen, S.X.-D. Tan, Learning-based dynamic reliability management for dark silicon processor considering EM effects, in Proceedings Design, Automation and Test in Europe (DATE) (IEEE, Piscataway, 2016)
J. Lienig, M. Thiele, Fundamentals of Electromigration-Aware Integrated Circuit Design (Springer, Berlin, 2018)
J.R. Lloyd, New models for interconnect failure in advanced IC technology, in International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA) (IEEE, Piscataway, 2008), pp. 297–302
R. Melhem, D. Mosse, E. Elnozahy, The interplay of power management and fault recovery in real-time systems. IEEE Trans. Comput. 53(2), 217–231 (2004)
A.S. Oates, Strategies to ensure electromigration reliability of Cu/low-k interconnects at 10 nm. ECS J. Solid State Sci. Technol. 4(1), N3168–N3176 (2014)
A.S. Oates, M.H. Lin, The scaling of electromigration lifetimes, in IEEE International Reliability Physics Symposium (IRPS) (IEEE, Piscataway, 2012), pp. 1–7
P. Pillai, K.G. Shin, Real-time dynamic voltage scaling for low-power embedded operating systems, in Proceedings of the Eighteenth ACM Symposium on Operating Systems Principles, SOSP ’01 (ACM, New York, 2001), pp. 89–102. http://doi.acm.org/10.1145/502034.502044
S. Saewong, R. Rajkumar, Practical voltage-scaling for fixed-priority RT-systems, in Proceedings of the 9th IEEE Real-Time and Embedded Technology and Applications Symposium (IEEE, Piscataway, 2003), pp. 106–114
C. Scordino, G. Lipari, A resource reservation algorithm for power-aware scheduling of periodic and aperiodic real-time tasks. IEEE Trans. Comput. 55(12), 1509–1522 (2006)
H. Shen, J. Lu, Q. Qiu, Learning based DVFS for simultaneous temperature, performance and energy management, in 2012 13th International Symposium on Quality Electronic Design (ISQED) (IEEE, Piscataway, 2012), pp. 747–754
T. Simunic, K. Mihic, G. Micheli, Optimization of Reliability and Power Consumption in Systems on a Chip. Lecture Notes in Computer Science, vol. 3728 (Springer, Berlin, 2005)
J. Srinivasan, S. Adve, P. Bose, J. Rivers, Ramp: a model for reliability aware microprocessor design. IBM Research Report, 2003
J. Srinivasan, S.V. Adve, P. Bose, J.A. Rivers, The case for lifetime reliability-aware microprocessors, in Proceedings of the 31st Annual International Symposium on Computer Architecture (IEEE, Piscataway, 2004), pp. 276–287
R.M. Swanson, J.D. Meindl, Ion-implanted complementary MOS transistors in low-voltage circuits. IEEE J. Solid State Circuits 7(2), 146–153 (1972)
Y. Tan, W. Liu, Q. Qiu, Adaptive power management using reinforcement learning, in Proceedings of the 2009 International Conference on Computer-Aided Design, ICCAD ’09 (ACM, New York, 2009), pp. 461–467. http://doi.acm.org/10.1145/1687399.1687486
O. Unsal, I. Koren, C. Krishna, Towards energy-aware software-based fault tolerance in real-time systems, in Proceedings of the 2002 International Symposium on Low Power Electronics and Design, ISLPED ’02 (IEEE, Piscataway, 2002), pp. 124–129
R. Ye, Q. Xu, Learning-based power management for multi-core processors via idle period manipulation, in 2012 17th Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, Piscataway, 2012), pp. 115–120
Author information
Authors and Affiliations
Rights and permissions
Copyright information
© 2019 Springer Nature Switzerland AG
About this chapter
Cite this chapter
Tan, S., Tahoori, M., Kim, T., Wang, S., Sun, Z., Kiamehr, S. (2019). Introduction. In: Long-Term Reliability of Nanometer VLSI Systems. Springer, Cham. https://doi.org/10.1007/978-3-030-26172-6_1
Download citation
DOI: https://doi.org/10.1007/978-3-030-26172-6_1
Published:
Publisher Name: Springer, Cham
Print ISBN: 978-3-030-26171-9
Online ISBN: 978-3-030-26172-6
eBook Packages: Physics and AstronomyPhysics and Astronomy (R0)