Skip to main content

Operators

  • Chapter
  • First Online:
  • 2834 Accesses

Abstract

This chapter describes all the operators offered by the language (both for a “sequence” and for a “property”) including Clock Delay with and without range, Consecutive repetition with and without range, non-consecutive repetition with and without range, “throughout,” “within,” “and,” “or,” “intersect,” “first_match,” “if...else,” etc. Each of the operator description is immediately followed by examples and applications to solidify the concept.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   69.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   89.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   139.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Mehta, A.B. (2020). Operators. In: System Verilog Assertions and Functional Coverage. Springer, Cham. https://doi.org/10.1007/978-3-030-24737-9_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-24737-9_8

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-24736-2

  • Online ISBN: 978-3-030-24737-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics