Skip to main content

Semiconductor Applications

  • Chapter
  • First Online:
Flash Lamp Annealing

Part of the book series: Springer Series in Materials Science ((SSMATERIALS,volume 288))

  • 903 Accesses

Abstract

This chapter gives an overview where flash lamp annealing is used in semiconductor applications. After a short introduction to defect engineering several use cases in the field of doping are discussed including ultra-shallow junctions and hyperdoping in silicon, doping and superconductivity in germanium, silicon carbide, III–V semiconductors, and diluted magnetic semiconductors. The following sections deals with the crystallization of semiconductors with the focus on thin amorphous silicon films and silicon compound semiconductors. The chapter closes with a section about flash lamp annealing of semiconductor nanostructures, namely group IV nanoclusters, III–V nanocrystals in Si and semiconductor nanowires.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 109.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 139.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. S.C. Jain, W. Schoenmaker, R. Lindsay, P.A. Stolk, S. Decoutere, M. Willander, H.E. Maes, Transient enhanced diffusion of boron in Si. J. Appl. Phys. 91(11), 8919–8941 (2002). https://doi.org/10.1063/1.1471941

    Article  ADS  Google Scholar 

  2. E.G. Seebauer, K.W. Noh, Trends in semiconductor defect engineering at the nanoscale. Mater. Sci. Eng. R Rep. 70(3–6), 151–168 (2010). https://doi.org/10.1016/j.mser.2010.06.007

    Article  Google Scholar 

  3. L. Shao, J. Liu, Q.Y. Chen, W.-K. Chu, Boron diffusion in silicon: the anomalies and control by point defect engineering. Mater. Sci. Eng. R Rep. 42(3–4), 65–114 (2003). https://doi.org/10.1016/j.mser.2003.08.002

    Article  Google Scholar 

  4. S. Mirabella, D. De Salvador, E. Napolitani, E. Bruno, F. Priolo, Mechanisms of boron diffusion in silicon and germanium. J. Appl. Phys. 113(3), 031101 (2013). https://doi.org/10.1063/1.4763353

    Article  ADS  Google Scholar 

  5. M. Aboy, L. Santos, L. Pelaz, L.A. Marqués, P. López, Modeling od defects, dopant diffusion and clustering. J. Comput. Electron. 13, 40–58 (2014)

    Article  Google Scholar 

  6. J.F. Ziegler, J.P. Biersack, Stopping and Range of Ions in Matter (2003)

    Google Scholar 

  7. M.D. Giles, Transient phosphorus diffusion below the amorphization threshold. J. Electrochem. Soc. 138(4), 1160 (1991)

    Article  Google Scholar 

  8. F. Lanzerath et al., Boron activation and diffusion in silicon and strained silicon-on-insulator by rapid thermal and flash lamp annealings. J. Appl. Phys. 104(4), 044908 (2008). https://doi.org/10.1063/1.2968462

    Article  ADS  Google Scholar 

  9. F. Cristiano, J. Grisolia, B. Colombeau, M. Omri, B. de Mauduit, A. Claverie, L.F. Giles, N.E.B. Cowern, Formation energies and relative stability of perfect and faulted dislocation loops in silicon. J. Appl. Phys. 87(12), 8420–8428 (2000). https://doi.org/10.1063/1.373557

    Article  ADS  Google Scholar 

  10. I. Martin-Bragado, M. Jaraiz, P. Castrillo, R. Pinacho, J. Barbolla, M.M. De Souza, Mobile silicon di-interstitial: surface, self-interstitial clustering, and transient enhanced diffusion phenomena. Phys. Rev. B 68(19) (2003). https://doi.org/10.1103/physrevb.68.195204

  11. A. Claverie, B. Colombeau, B. de Mauduit, C. Bonafos, X. Hebras, G. Ben Assayag, F. Cristiano, Extended defects in shallow implants. Appl. Phys. A Mater. Sci. Process. 76(7), 1025–1033 (2003). https://doi.org/10.1007/s00339-002-1944-0

    Article  ADS  Google Scholar 

  12. A. Claverie, B. Colombeau, G. Ben Assayag, C. Bonafos, F. Cristiano, M. Omri, B. de Mauduit, Thermal evolution of extended defects in implanted Si: impact on dopant diffusion. Mat. Sci. Semicond. Process. 3, 269–277 (2000)

    Article  Google Scholar 

  13. S.H. Yeong et al., Understanding of boron junction stability in preamorphized silicon after optimized flash annealing. J. Electrochem. Soc. 155(7), H508 (2008). https://doi.org/10.1149/1.2917901

    Article  Google Scholar 

  14. R.A. Camillo-Castillo, M.E. Law, K.S. Jones, R. Lindsay, K. Maex, B.J. Pawlak, S. McCoy, Application of flash-assist rapid thermal processing subsequent to low-temperature furnace anneals. J. Vac. Sci. Technol. B Microelectr. Nanom. Struct. 24(1), 450 (2006). https://doi.org/10.1116/1.2140003

    Article  ADS  Google Scholar 

  15. L.A. Marqués, L. Pelaz, P. Castrillo, J. Barbolla, Molecular dynamics study of the configurational and energetic properties of the silicon self-interstitial. Phys. Rev. B 71(8) (2005). https://doi.org/10.1103/physrevb.71.085204

  16. C.S. Rafferty, G.H. Gilmer, M. Jaraiz, D. Eaglesham, H.J. Gossmann, Simulation of cluster evaporation and transient enhanced diffusion in silicon. Appl. Phys. Lett. 68(17), 2395–2397 (1996). https://doi.org/10.1063/1.116145

    Article  ADS  Google Scholar 

  17. S. Mirabella, D. De Salvador, E. Bruno, E. Napolitani, E.F. Pecora, S. Boninelli, F. Priolo, Mechanism of boron diffusion in amorphous silicon. Phys. Rev. Lett. 100(15), 155901 (2008). https://doi.org/10.1103/PhysRevLett.100.155901

    Article  ADS  Google Scholar 

  18. H. Kodera, Diffusion coefficients of impurities in silicon melt. Jap. J. Appl. Phys. 2(4), 212 (1963)

    Article  ADS  Google Scholar 

  19. A. Ural, P.B. Griffin, J.D. Plummer, Fractional contributions of microscopic diffusion mechanisms for common dopants and self-diffusion in silicon. J. Appl. Phys. 85(9), 6440 (1999)

    Article  ADS  Google Scholar 

  20. N.E.B. Cowern, K.T.F. Janssen, H.F.F. Jos, Transient diffusion of ion-implanted B in Si: Dose, time, and matrix dependence of atomic and electrical profiles. J. Appl. Phys. 68(12), 6191–6198 (1990). https://doi.org/10.1063/1.346910

    Article  ADS  Google Scholar 

  21. W.K. Hofker, H.W. Werner, D.P. Oosthoek, H.A.M. de Grefte, Influence of annealing on the concentration profiles of boron implantations in silicon. Appl. Phys. 2, 265–278 (1973)

    Article  ADS  Google Scholar 

  22. V.S. Lysenko, V.I. Zimenko, I.P. Tyagulskii, I.N. Osiyuk, O.V. Snitko, T.N. Sytenko, Flash-lamp annealing of Si-SiO2 transition layer defects. Phys. Stat. Sol. (a) 87, K175 (1985)

    Article  ADS  Google Scholar 

  23. N. Mutsukura, Y. Machi, Flash annealing of ion-implanted silicon wafers with a pulsed xenon lamp and its application for solar cells. Thin Solid Films 111, 105–119 (1984)

    Article  ADS  Google Scholar 

  24. A. Usami, Y. Tokuda, M. Katayama, S. Kaneshima, T. Wada, Studies of deep-level defects in flash lamp annealing of ion-implanted silicon. J. Phys. D 19, 1079–1089 (1986)

    Article  ADS  Google Scholar 

  25. T. Sakoda et al., Characterization of millisecond-anneal-induced defects in SiON and SiON/Si interface by gate current fluctuation measurement, in IEEE International Reliability Physics Symposium (2010), p. 379. https://doi.org/10.1109/irps.2010.5488801

  26. G. Kissinger, D. Kot, W. von Ammon, Comparison of the impact of thermal treatments on the second and on the millisecond scales on the precipitation of interstitial oxygen. ECS J. Sol. State Sci. Technol. 1(6), P269–P275 (2012)

    Article  Google Scholar 

  27. N. Matsuo, N. Yoshioka, A. Heya, Dynamics of interstitial atoms and vacancies during the crystallization of amorphous Si and Ge films by flash lamp annealing. Jap. J. Appl. Phys. 56, 085505 (2017). https://doi.org/10.7567/JJAP.56.085505

    Article  ADS  Google Scholar 

  28. S.P. McCoy, E.A. Arevalo, J.C. Gelpey, D.F. Downey, Advanced doping and millisecond annealing for ultra-shallow junctions for 65 nm and beyond, in 12th IEEE International Conference on Advanced Thermal Processing of Semiconductors (2004), p. 99

    Google Scholar 

  29. M. Moras, J. Martin-Martinez, R. Rodriguez, M. Nafria, X. Aymerich, E. Simoen, Negative bias temperature instabilities induced in devices with millisecond anneal for ultra-shallow junctions. Solid-State Electr. 101, 131–136 (2014). https://doi.org/10.1016/j.sse.2014.06.036

    Article  ADS  Google Scholar 

  30. S.M. Jung, C.J. Park, H. Jeong, M.W. Shin, Effect of number of laser pulses on p+/n silicon ultra-shallow junction formation during non-melt ultra-violet laser thermal annealing. Mater. Sci. Semicond. Process. 60, 34–39 (2017). https://doi.org/10.1016/j.mssp.2016.12.014

    Article  Google Scholar 

  31. M.H. Tsai, C.-T. Wu, W.-H. Lee, Activation of boron and recrystallization in Ge preamorphization implant structure of ultra shallow junctions by microwave annealing. Jpn. J. Appl. Phys. 53(4), 041302 (2014). https://doi.org/10.7567/jjap.53.041302

    Article  ADS  Google Scholar 

  32. P. Xu et al., Ultra-shallow junctions formed using microwave annealing. Appl. Phys. Lett. 102(12), 122114 (2013). https://doi.org/10.1063/1.4799030

    Article  ADS  Google Scholar 

  33. K. Fuse, H. Tanimura, T. Aoyama, S. Kato, I. Kobayashi, Conformal SDE doping for FinFETs using an arsenic-doped Sol-Gel Coating (SGC) and flash lamp annealing (FLA), in 2017 17th International Workshop on Junction Technology (2017)

    Google Scholar 

  34. J. Foggiato, W.S. Yoo, Millisecond flash annealing: applications for USJ formation and optimization of device electrical characteristics. Mater. Sci. Eng. B 124, 219–222 (2005). https://doi.org/10.1016/j.mseb.2005.08.077

    Article  Google Scholar 

  35. T. Ito et al., Low-resistance ultrashallow extension formed by optimized flash lamp annealing. IEEE Trans. Semicond. Manuf. 16(3), 417–422 (2003). https://doi.org/10.1109/tsm.2003.815621

    Article  Google Scholar 

  36. A. Jain, L. Robertson, K. Gable, Establishing advanced implant annealing for the 65 nm technology node, in 10th IEEE International Conference on Advanced Thermal Processing of Seminconductors (2002), p. 31

    Google Scholar 

  37. R.B. McKnight, P.J. Timans, S.P. Tay, Z. Nenyei, RTP applications and technology options for the sub-45 nm nodes, in 12th IEEE International Conference on Advanced Thermal Processing of Seminconductors (2004), p. 3

    Google Scholar 

  38. K.T. Nishinohara, T. Ito, T. Itani, K. Suguro, Impact of flash lamp annealing on 20-nm-gate-length metal oxide silicon field effect transistors. Jpn. J. Appl. Phys. 42(Part 2, No. 10A), L1126–L1129 (2003). https://doi.org/10.1143/jjap.42.l1126

    Article  ADS  Google Scholar 

  39. K.T. Nishinohara, T. Ito, K. Suguro, Improvement of performance deviation and productivity of MOSFETs with gate length below 30 nm by flash lamp annealing. IEEE Trans. Semicond. Manuf. 17(3), 286–291 (2004). https://doi.org/10.1109/tsm.2004.831931

    Article  Google Scholar 

  40. M. Abe, K. Fuse, S. Kato, T. Aoyama, I. Kobayashi, Dopant activation control using a soak pulse in Flash Lamp Annealing, in 21st International Conference on Ion Implantation Technology (2016)

    Google Scholar 

  41. B. Mizuno et al., Plasma doping, in 7th International Conference on Solid-State and Integrated Circuits Technology, Proceedings, vols. 1–3, (2004), p. 423

    Google Scholar 

  42. Y. Sasaki et al., New method of plasma doping with in-situ Helium pre-amorphization. Nucl. Instr. Methods B 237(1–2), 41–45 (2005). https://doi.org/10.1016/j.nimb.2005.04.109

    Article  ADS  Google Scholar 

  43. M.S. Ameen, L.M. Rubin, M.A. Harris, C. Huynh, Properties of ultralow energy boron implants using octadecaborane. J. Vac. Sci. Technol. B 26(1), 373 (2008). https://doi.org/10.1116/1.2790925

    Article  Google Scholar 

  44. W.S. Yoo, K. Kang, Electrical activation of ultra-shallow B and BF2 implanted silicon by flash anneal. Nucl. Instr. Methods B 237(1–2), 12–17 (2005). https://doi.org/10.1016/j.nimb.2005.04.107

    Article  ADS  Google Scholar 

  45. B. Mizuno et al., Plasma doping and subsequent rapid thermal processing for ultra shallow junction formation, in 13th IEEE International Conferecne on Advanced Thermal Processing of Seminconductors (2005), p. 45

    Google Scholar 

  46. J. Foggiato, W.S. Yoo, Implementation of flash technology for ultra shallow junction formation: challenges in process integration. J. Vac. Sci. Technol. B 24(1), 515 (2006). https://doi.org/10.1116/1.2151903

    Article  Google Scholar 

  47. S. Gennaro, D. Giubertoni, M. Bersani, J. Foggiato, W.S. Yoo, R. Gwilliam, Nonconventional flash annealing on shallow indium implants in silicon. J. Vac. Sci. Technol. B 24(1), 473 (2006). https://doi.org/10.1116/1.2132321

    Article  Google Scholar 

  48. C.M. Polley et al., Exploring the limits of N-Type ultra-shallow junction formation. ACS Nano 7(6), 5499–5505 (2013). https://doi.org/10.1021/nn4016407

    Article  Google Scholar 

  49. J.O. Borland, 32 nm node USJ implant & annealing options, in 15th IEEE International Conference on Advanced Thermal Processing of Seminconductors (2007), p. 181

    Google Scholar 

  50. T. Gebel et al., Flash lamp annealing with millisecond pulses for ultra-shallow boron profiles in silicon. Nucl. Instr. Methods B 186, 287–291 (2002). https://doi.org/10.1016/s0168-583x(01)00900-4

    Article  ADS  Google Scholar 

  51. W. Lerch et al., Advanced activation trends for boron and arsenic by combinations of single, multiple flash anneals and spike rapid thermal annealing. Mat. Sci. Eng. B 154–155, 3–13 (2008). https://doi.org/10.1016/j.mseb.2008.08.017

    Article  Google Scholar 

  52. W. Skorupa et al., Advanced thermal processing of semiconductor materials in the msec-range, in 13th IEEE International Conference Advanced Thermal Processing of Semiconductors (2005), p. 53. https://doi.org/10.1109/rtp.2005.1613684

  53. H. Tsujii et al., Design guideline for halo condition on CMOSFETs utilizing FLA, in 5th International Workshop on Junction Technology (2005), p. 107

    Google Scholar 

  54. Diffusion in silicon, germanium and their alloys, in Landolt-Börstein. New Series, vol. III-33A (Springer, Berlin)

    Google Scholar 

  55. E.J.H. Collart et al., Effects of implant temperature and millisecond annealing on dopant activation and diffusion, in AIP Conference Proceedings on Ion Implantation Technology 2012, vol. 1496, ed. by L. Pelaz, I. Santos, R. Duffy, F. Torregrosa, K. Bourdelle (2012), pp. 95–98

    Google Scholar 

  56. T. Aoyama, S. Kato, K. Yamaguchi, T. Onizawa, Y. Nara, Y. Ohji, Study of boron activation by flash lamp annealing from a view of depth dependence in silicon substrate, in Extended Abstracts 2008 International Workshop on Junction Technology (2008)

    Google Scholar 

  57. T. Ito et al., Flash lamp anneal technology for effectively activating lon implanted Si, in Extended Abstracts of the 2001 International Conference on Solid State Devices and Materials (2001), p. 182

    Google Scholar 

  58. T. Ito et al., 10–15 nm ultrashallow junction formation by flash-lamp annealing. Jpn. J. Appl. Phys. 41(Part 1, No. 4B), 2394–2398 (2002). https://doi.org/10.1143/jjap.41.2394

    Article  ADS  Google Scholar 

  59. W. Lerch et al., Advanced activation of ultra-shallow junctions using flash-assisted RTP. Mat. Sci. Eng. B 124, 24–31 (2005). https://doi.org/10.1016/j.mseb.2005.08.047

    Article  Google Scholar 

  60. D. Panknin, E. Wieser, R. Klabes, H. Syhre, Dose dependence of the flash lamp annealing of arsenic-implanted silicon. Phys. Status Solidi A 77(2), 553–559 (1983). https://doi.org/10.1002/pssa.2210770219

    Article  ADS  Google Scholar 

  61. C.T.M. Kwok, R.D. Braatz, S. Paul, W. Lerch, E.G. Seebauer, Mechanistic benefits of millisecond annealing for diffusion and activation of boron in silicon. J. Appl. Phys. 105(6), 063514 (2009). https://doi.org/10.1063/1.3079524

    Article  ADS  Google Scholar 

  62. D.-C. Ahn, B.-H. Lee, M.-H. Kang, J. Hur, T. Bang, Y.-K. Choi, Impact of crystalline damage on a vertically integrated junctionless nanowire transistor. Appl. Phys. Lett. 109(18), 183108 (2016). https://doi.org/10.1063/1.4965851

    Article  ADS  Google Scholar 

  63. T. Feudel, et al., Process integration issues with spike, flash and laser anneal implementation for 90 and 65 nm technologies, in 14th International Conference on Advanced Thermal Processing of Semiconductors (2006)

    Google Scholar 

  64. C.H. Poon, A. See, Y. Tan, M. Zhou, G. Gui, Improved boron activation with reduced preheating temperature during flash annealing of preamorphized silicon. J. Electrochem. Soc. 155(2), H59 (2008). https://doi.org/10.1149/1.2806168

    Article  Google Scholar 

  65. H. Ohta et al., High performance sub-35 nm bulk CMOS with hybrid gate structures of NMOS dopant confinement layer (DCL)/PMOS; Ni-FUSI by using flash lamp anneal (FLA) in Ni-silicidation, in Symposium on VLSI Technology (2008), p. 115

    Google Scholar 

  66. C.Y. Cheng et al., Origins of flash lamp annealing induced p–n junction leakages in a 45 nm p-MOSFET with strained SiGe source/drain. J. Phys. D Appl. Phys. 42(9), 095107 (2009). https://doi.org/10.1088/0022-3727/42/9/095107

    Article  ADS  Google Scholar 

  67. R. Illgen et al., A comparative study of non-melt laser spike annealing and flash lamp annealing in terms of transistor performance and pattern effects on SOI-CMOSFETs for the 32 nm node and below, in 10th International Conference on Ultimate Integration of Silicon (2009)

    Google Scholar 

  68. F. Ootsuka et al., Ultra-low thermal budget CMOS process for 65 nm-node low-operation-power applications, in IEEE International Electron Devices Meeting Technical Digest (2003), pp. 27–7

    Google Scholar 

  69. F. Ootsuka et al., Ultralow-thermal-budget CMOS process using flash-lamp annealing for 45 nm metal/high-k FETs. IEEE Trans. Electron Devices 55(4), 1042–1049 (2008). https://doi.org/10.1109/ted.2008.917546

    Article  ADS  Google Scholar 

  70. T. Sanuki et al., High-performance 45 nm node CMOS transistors featuring flash lamp annealing (FLA), in IEEE International Electron Devices Meeting (2007), p. 281

    Google Scholar 

  71. T. Sanuki et al., High density and fully compatible embedded DRAM cell with 45 nm MOS technology (CMOS6), in Symposium on VLSI Technology, Digest of Technical Papers (2005), p. 142

    Google Scholar 

  72. H.W. Cheng, C.H. Hwang, K.A. Chao, Y.M. Li, Effect of flash lamp annealing and laser spike annealing on random dopant fluctuation of 15-nm metal-oxide-semiconductor devices. J. Nanosci. Nanotechnol. 12(3), 2462–2466 (2012). https://doi.org/10.1166/jnn.2012.5807

    Article  Google Scholar 

  73. J.C. Ho et al., Wafer-scale, Sub-5 nm junction formation by monolayer doping and conventional spike annealing. Nano Lett. 9(2), 725–730 (2009). https://doi.org/10.1021/nl8032526

    Article  ADS  Google Scholar 

  74. L. Ye, M.P. de Jong, T. Kudernac, W.G. van der Wiel, J. Huskens, Doping of semiconductors by molecular monolayers: monolayer formation, dopant diffusion and applications. Mater. Sci. Semicond. Process. 62, 128–134 (2017)

    Article  Google Scholar 

  75. S.R. McKibbin, C.M. Polley, G. Scappucci, J.G. Keizer, M.Y. Simmons, Low resistivity, super-saturation phosphorus-in-silicon monolayer doping. Appl. Phys. Lett. 104(12), 123502 (2014). https://doi.org/10.1063/1.4869111

    Article  ADS  Google Scholar 

  76. H.B. Normann et al., Phosphorus in-diffusion from a surface source by millisecond flash lamp annealing for shallow emitter solar cells. Appl. Phys. Lett. 102(13), 132108 (2013). https://doi.org/10.1063/1.4800781

    Article  ADS  Google Scholar 

  77. H.N. Riise et al., Formation of shallow boron emitters in crystalline silicon using flash lamp annealing: Role of excess silicon interstitials. Appl. Phys. Lett. 107(2), 022105 (2015). https://doi.org/10.1063/1.4926661

    Article  ADS  Google Scholar 

  78. B. Kalkofen, A.A. Amusan, M.S.K. Bukhari, B. Garke, M. Lisker, H. Gargouri, E.P. Burte, Use of B2O3 films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon. J. Vac. Sci. Technol. A 33, 031512 (2015). https://doi.org/10.1116/1.4917552

    Article  Google Scholar 

  79. W.P. Voorthuijzen, M.D. Yilmaz, A. Gomez-Casado, P. Jonkheijm, W.G. van der Wiel, J. Huskens, Direct patterning of covalent organic monolayers on silicon using nanoimprint lithography. Langmuir 26(17), 14210–14215 (2010). https://doi.org/10.1021/la101445n

    Article  Google Scholar 

  80. J. O’Connell, S. Biswas, R. Duffy, J.D. Holmes, Chemical approaches for doping nanodevice architectures. Nanotechnology 27(34), 342002 (2016). https://doi.org/10.1088/0957-4484/27/34/342002

    Article  Google Scholar 

  81. Y. Shimizu et al., Behavior of phosphorous and contaminants from molecular doping combined with a conventional spike annealing method. Nanoscale 6(2), 706–710 (2014). https://doi.org/10.1039/c3nr03605g

    Article  ADS  Google Scholar 

  82. M. Seifner, M. Sistani, F. Porrati, G. Di Prima, P. Pertl, M. Huth, A. Lugstein, S. Barth, Direct synthesis of hyperdoped germanium nanowires. ACS Nano 12, 1236 (2018)

    Article  Google Scholar 

  83. S. Zhou, X. Pi, Z. Ni, Q. Luan, Y. Jiang, C. Jin, T. Nozaki, D. Yang, Boron- and phosphorus-hyperdoped silicon nanocrystals. Part. Part. Syst. Charact. 32, 213–221 (2015)

    Article  Google Scholar 

  84. S. Zhou, F. Liu, S. Prucnal, K. Gao, M. Khalid, C. Baehtz, M. Posselt, W. Skorupa, M. Helm, Hyperdoping silicon with selenium: solid vs. liquid phase epitaxy. Sci. Rep. 5, 8329 (2015)

    Google Scholar 

  85. J.P. Mailoa et al., Room-temperature sub-band gap optoelectronic response of hyperdoped silicon. Nat. Comm. 5, 3011 (2014)

    Article  ADS  Google Scholar 

  86. E. Ertekin, M.T. Winkler, D. Recht, A.J. Said, M.J. Aziz, T. Buonassisi, J.C. Grossman, Insulator-to-metal transition in selenium-hyperdoped silicon: observation and origin. Phys. Rev. Lett. 108, 026401 (2012)

    Article  ADS  Google Scholar 

  87. K.J. Morse, R.J.S. Abraham, H. Riemann, N.V. Abrosimov, P. Becker, H.-J. Pohl, M.L.W. Thewalt, S. Simmons, A photonic platform for donor spin qubits in silicon. Sci. Adv. 3, e1700930 (2017)

    Article  ADS  Google Scholar 

  88. I. Umezu et al., Emergence of very broad infrared absorption band by hyperdoping of silicon with chalcogens. J. Appl. Phys. 113, 213501 (2013)

    Article  ADS  Google Scholar 

  89. F. Liu et al., Realizing the insulator-to-metal transition in Se-hyperdoped Si via non-equilibrium material processing. J. Phys. D Appl. Phys. 50, 415102 (2017)

    Article  ADS  Google Scholar 

  90. Y. Berencen, S. Prucnal, F. Liu, I. Skorupa, R. Huebner, L. Rebohle, S. Zhou, H. Schneider, M. Helm, W. Skorupa, Room-temperature short-wavelength infrared Si photodetector. Sci. Rep. 7, 43688 (2017)

    Article  ADS  Google Scholar 

  91. M. Wang et al., Extended infrared photoresponse in Te-hyperdoped Si at room temperature. Phys. Rev. Appl. 10, 024054 (2018)

    Article  ADS  Google Scholar 

  92. F. Liu, S. Prucnal, R. Hübner, Y. Yuan, W. Skorupa, M. Helm, S. Zhou, Suppressing the cellular breakdown in silicon supersaturated with titanium. J. Phys. D Appl. Phys. 49, 245104 (2016)

    Article  ADS  Google Scholar 

  93. F. Liu et al., On the insulator-to-metal transition in titanium-implanted silicon. Sci. Rep. 8, 4164 (2018)

    Article  ADS  Google Scholar 

  94. https://www.semiconductors.org/main/2015_international_technology_roadmap_for_semiconductors_itrs/. Accessed 26 Nov 2018

  95. R.L. Cohen, J.S. Williams, L.C. Feldman, K.W. West, Thermally assisted flash annealing of silicon and germanium. Appl. Phys. Lett. 33, 751 (1978)

    Article  ADS  Google Scholar 

  96. J.S. Hovis, R.J. Hamers, C.M. Greenlief, Preparation of clean and atomically flat germanium(001) surfaces. Surf. Sci. 440, L815–L819 (1999)

    Article  ADS  Google Scholar 

  97. K. Osada, T. Fukunaga, K. Shibahara, Ge shallow junction formation by As implantation and flash lamp annealing, in Proceedings of Technical Program: 2009 International Symposium on VLSI Technology, Systems and Applications (2009)

    Google Scholar 

  98. H. Tanimura et al., 10 nm-deep n+/p and p plus /n Ge junctions with high activation formed by ion implantation and flash lamp annealing (FLA), in 2016 16th International Workshop on Junction Technology (2016)

    Google Scholar 

  99. Tanimura et al., Nanometer-deep junctions with high doping concentration for Ge SDEs using solid source doping and flash lamp annealing, in 2017 17th International Workshop on Junction Technology (2017)

    Google Scholar 

  100. D. Skarlatos et al., Phosphorous diffusion in N2+ -implanted germanium during flash lamp annealing: influence of nitrogen on ge substrate damage and capping layer engineering. ECS J. Solid State Sci. Technol. 6(7), P418–P428 (2017). https://doi.org/10.1149/2.0201707jss

    Article  Google Scholar 

  101. M. Posselt et al., P implantation into preamorphized germanium and subsequent annealing: Solid phase epitaxial regrowth, P diffusion, and activation. J. Vac. Sci. Technol. B 26, 430 (2008)

    Article  Google Scholar 

  102. P. Taheri, H.M. Fahad, M. Tosun, M. Hettick, D. Kiriya, K. Chen, A. Javey, Nanoscale junction formation by gas-phase monolayer doping. ACS Appl. Mater. Interfaces. 9, 20648–20655 (2017)

    Article  Google Scholar 

  103. G. Scappucci, W.M. Klesse, L.A. Yeoh, D.J. Carter, O. Warschkow, N.A. Marks, D.L. Jaeger, G. Capellini, M.Y. Simmons, A.R. Hamilton, Bottom-up assembly of metallic germanium. Sci. Rep. 5, 12948 (2015)

    Article  ADS  Google Scholar 

  104. J. Kim, S.W. Bedell, D.K. Sadana, Multiple implantation and multiple annealing of phosphorus doped germanium to achieve n-type activation near the theoretical limit. Appl. Phys. Lett. 101, 112107 (2012)

    Article  ADS  Google Scholar 

  105. S. Prucnal, F. Liu, M. Voelskow, L. Vines, L. Rebohle, D. Lang, Y. Berencén, S. Andric, R. Böttger, M. Helm, S. Zhou, W. Skorupa, Ultra-doped n-type germanium thin films for sensing in the mid-infrared. Sci. Rep. 6, 27643 (2016)

    Article  ADS  Google Scholar 

  106. S.-H. Huang, F.-L. Lu, W.L. Huang, C.H. Huang, C.W. Liu, The ∼3 × 1020 cm−3 electron concentration and low specific contact resistivity of phosphorus-doped Ge on Si by in-situ chemical vapor deposition doping and laser annealing. IEEE Electron. Dev. Lett. 36, 1114 (2015)

    Article  ADS  Google Scholar 

  107. C. Wündisch, M. Posselt, B. Schmidt, V. Heera, T. Schumann, A. Mücklich, R. Grötzschel, W. Skorupa, T. Clarysse, E. Simoen, H. Hortenbach, Millisecond flash lamp annealing of shallow implanted layers in Ge. Appl. Phys. Lett. 95, 252107 (2009)

    Article  ADS  Google Scholar 

  108. G. Mattoni, W.M. Klesse, G. Capellini, M.Y. Simmons, G. Scappucci, Phosphorus molecules on Ge(001). ACS Nano 7, 11310–11316 (2013)

    Article  Google Scholar 

  109. S. Prucnal et al., Nanoscale n++-p junction formation in GeOI probed by tip-enhanced Raman spectroscopy and conductive atomic force microscopy. J. Appl. Phys. 125, 245703 (2019). https://doi.org/10.1063/1.5080289

    Article  ADS  Google Scholar 

  110. K. Ohdaira, S. Ishii, N. Tomura, H. Matsumura, Microstructure of polycrystalline silicon films formed through explosive crystallization induced by flash lamp annealing. Jpn. J. Appl. Phys. 50, 04DP01 (2011)

    Article  Google Scholar 

  111. T. Gebel, L. Rebohle, R. Fendler, W. Hentsch, W. Skorupa, M. Voelskow, W. Anwand, R.A. Yankov, Millisecond annealing with flash lamps: tool and process challenges, in 14th International Conference on Advanced Thermal Processing of Semiconductors (2006), pp. 47–55

    Google Scholar 

  112. A. Satta, A. D’Amore, E. Simoen, W. Anwand, W. Skorupa, T. Clarysse, B. Van Daele, T. Janssens, Formation of germanium shallow junction by flash annealing. Nucl. Instr. Meth. Phys. Res. B 257, 157–160 (2007)

    Article  ADS  Google Scholar 

  113. H. Bracht, S. Schneider, R. Kube, Diffusion and doping issues in germanium. Microelectron. Eng. 88, 452–457 (2011)

    Article  Google Scholar 

  114. E. Simoen, A. Satta, A. D’Amore, T. Janssens, T. Clarysse, K. Martens, B. De Jaeger, A. Benedetti, I. Hoflijk, B. Brijs, M. Meuris, W. Vandervorst, Ion-implantation issues in the formation of shallow junctions in germanium. Mater. Sci. Semicond. Process. 9, 634–639 (2006)

    Article  Google Scholar 

  115. K. Usuda, Y. Kamata, Y. Kamimuta, T. Mori, M. Koike, T. Tezuka, High-performance tri-gate poly-Ge junction-less p- and n-MOSFETs fabricated by flash lamp annealing process. IEEE 2014 IEDM

    Google Scholar 

  116. S. Prucnal et al., Enhancement of carrier mobility in thin Ge layer by Sn co-doping. Semicond. Sci. Technol. 31, 105012 (2016)

    Article  ADS  Google Scholar 

  117. R. Milazzo, G. Impellizzeri, D. Piccinotti, D. De Salvador, A. Portavoce, A. La Magna, G. Fortunato, D. Mangelinck, V. Privitera, A. Carnera, E. Napolitani, Low temperature deactivation of Ge heavily n-type doped by ion implantation and laser thermal annealing. Appl. Phys. Lett. 110, 011905 (2017)

    Article  ADS  Google Scholar 

  118. M. Posselt, A. Gabriel, Atomistic simulation of amorphous germanium and its solid phase epitaxial recrystallization. Phys. Rev. B 80, 045202 (2009)

    Article  ADS  Google Scholar 

  119. F. Edelman, Y. Komem, M. Bendayan, R. Beserman, Initial crystallization stage of amorphous germanium films. J. Appl. Phys. 72, 5153 (1992)

    Article  ADS  Google Scholar 

  120. B.C. Johnson, P. Gortmaker, J.C. McCallum, Intrinsic and dopant-enhanced solid-phase epitaxy in amorphous germanium. Phys. Rev. B 77, 214109 (2008)

    Article  ADS  Google Scholar 

  121. L. Nikolova et al., Nanocrystallization of amorphous germanium films observed with nanosecond temporal resolution. Appl. Phys. Lett. 97, 203102 (2010). https://doi.org/10.1063/1.3518069

    Article  ADS  Google Scholar 

  122. J. Liu, L.C. Kimerling, J. Michel, Monolithic Ge-on-Si lasers for large-scale electronic–photonic integration. Semicond. Sci. Technol. 27, 094006 (2012)

    Article  ADS  Google Scholar 

  123. M. El Kurdi, G. Fishman, S. Sauvage, P. Boucauda, Band structure and optical gain of tensile-strained germanium based on a 30 band k·p formalism. J. Appl. Phys. 107, 013710 (2010)

    Article  ADS  Google Scholar 

  124. S. Prucnal et al., In situ ohmic contact formation for n-type Ge via non-equilibrium processing. Semicond. Sci. Technol. 32, 115006 (2017)

    Article  ADS  Google Scholar 

  125. E.A. Ekimov, V.A. Sidorov, E.D. Bauer, N.N. Melnik, N.J. Curro, J.D. Thompson, S.M. Stishov, Superconductivity in diamond. Nature 428, 542 (2004)

    Article  ADS  Google Scholar 

  126. E. Bustarret et al., Superconductivity in doped cubic silicon. Nature 444, 465 (2006)

    Article  ADS  Google Scholar 

  127. T. Herrmannsdörfer et al., Superconductivity in thin-film germaniumin the temperature regime around 1 K. Supercond. Sci. Technol. 23, 034007 (2010)

    Article  ADS  Google Scholar 

  128. V. Heera et al., Heavily Ga-doped germanium layers produced by ion implantation and flash lamp annealing: Structure and electrical activation. J. Appl. Phys. 107, 053508 (2010)

    Article  ADS  Google Scholar 

  129. R. Skrotzki, T. Herrmannsdörfer, V. Heera, J. Fiedler, A. Mücklich, M. Helm, J. Wosnitza, The impact of heavy Ga doping on superconductivity in germanium. Low Temp. Phys. 37, 877 (2011)

    Article  ADS  Google Scholar 

  130. V. Heera et al., Depth-resolved transport measurements and atom-probe tomography of heterogeneous superconducting Ge: Ga films. Supercond. Sci. Technol. 27, 055025 (2014)

    Article  ADS  Google Scholar 

  131. D. Panknin, W. Skorupa, H. Wirth, M. Voelskow, A. Mücklich, W. Anwand, G. Brauer, O. Gonzalez-Varona, A. Perez-Rodriguez, J.M. Morante, Ion beam doping of 6H-SiC for high concentration p-Type layers. Solid State Phenom. 69–70, 391–396 (1999)

    Article  Google Scholar 

  132. D. Panknin, H. Wirth, A. Mücklich, W. Skorupa, Electrical and microstructural properties of highly boron-implantation doped 6H–SiC. J. Appl. Phys. 89(6), 3162–3167 (2001)

    Article  ADS  Google Scholar 

  133. C.-M. Zetterling, Process Technology for Silicon Carbide Device. EMIS Processing Series 2 (INSPEC The Institution of Electrical Engineers, London 2002)

    Google Scholar 

  134. S.G. Davis, D.F. Anthrop, A.W. Searcy, Vapor pressure of silicon and the dissociation pressure of silicon carbide. J. Chem. Phys. 34, 659 (1961)

    Article  ADS  Google Scholar 

  135. V. Heera, D. Panknin, W. Skorupa, P-type doping of SiC by high dose Al implantation—problems and progress. Appl. Surf. Sci. 184, 307–316 (2001)

    Article  ADS  Google Scholar 

  136. D. Panknin, H. Wirth, W. Anwand, G. Brauer, W. Skorupa, High concentration doping of 6H-SiC by Ion Implantation: flash versus furnace annealing. Mater. Sci. Forum 338–342, 877–800 (2000)

    Article  Google Scholar 

  137. D. Panknin, T. Gebel, W. Skorupa, Flash lamp annealing of implantation doped p- and n-type 6H-SiC. Mater. Sci. Forum 353–356, 587–590 (2001)

    Article  Google Scholar 

  138. H. Wirth, D. Panknin, W. Skorupa, E. Niemann, Efficient p-type doping of 6H-SiC: Flash-lamp annealing after aluminium implantation. Appl. Phys. Lett. 74(7), 979–981 (1999)

    Article  ADS  Google Scholar 

  139. W. Anwand, G. Brauer, J. Kuriplach, W. Skorupa, Slow positron beam investigations of defects caused by B+ implantation into epitaxial 6H-SiC. Mater. Sci. Forum 445–446, 36–38 (2004)

    Article  Google Scholar 

  140. J. Stoemenos, D. Panknin, M. Eickhoff, V. Heera, W. Skorupa, Improved 3C-SiC films epitaxially grown on Si by flash lamp processing. J. Electrochem. Soc. 151(2), G136–G143 (2004)

    Article  Google Scholar 

  141. D. Panknin, J. Stoemenos, M. Eickhoff, V. Heera, N. Voroutzis, G. Krötz, W. Skorupa, Improvement of the 3C-SiC/Si interface by flash lamp annealing. Mater. Sci. Forum 353–356, 151–154 (2001)

    Article  Google Scholar 

  142. W. Skorupa et al., Flash lamp supported deposition of 3C-SiC (FLASiC)—a promising technique to produce high quality cubic SiC layers. Mater. Sci. Forum 457–460, 175–180 (2004)

    Article  Google Scholar 

  143. M.P. Smith, R.A. McMahon, M. Voelskow, W. Skorupa, J. Stoemenos, G. Ferro, Process control and melt depth homogenization for SiC-on-Si structures during flash lamp annealing by carbon implantation. J. Appl. Phys. 100(9), 094909 (2006). https://doi.org/10.1063/1.2359684

    Article  ADS  Google Scholar 

  144. S. Felch, G. Higashi, Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions, US Patent US 20080023732A1 (2008)

    Google Scholar 

  145. D. Panknin, P. Godignion, N. Mestres, E. Polychroniadis, J. Stoemenos, G. Ferro J. Pezoldt, W. Skorupa, Formation of 3C-SiC films embedded in SiO2 by sacrificial oxidation. Mater. Sci. Forum 457–460, 1515–1518 (2004)

    Google Scholar 

  146. S. Prucnal, K. Gao, W. Anwand, M. Helm, W. Skorupa, S. Zhou, Temperature stable 1.3 μm emission from GaAs. Opt. Express 20, 26075–26081 (2012)

    Article  ADS  Google Scholar 

  147. S. Prucnal et al., Band-gap narrowing in Mn-doped GaAs probed by room-temperature photoluminescence. Phys. Rev. B 92, 224407 (2015)

    Article  ADS  Google Scholar 

  148. S. Zhou, Dilute ferromagnetic semiconductors prepared by the combination of ion implantation with pulse laser melting. J. Phys. D Appl. Phys. 48, 263001 (2015)

    Article  ADS  Google Scholar 

  149. I. Vurgaftman, J.R. Meyer, Band parameters for nitrogen-containing semiconductors. J. Appl. Phys. 94, 3675 (2003)

    Article  ADS  Google Scholar 

  150. J. Toivonen, Growth and properties of GaAsN structures. Dissertation, Helsinki University of Technology, 2003

    Google Scholar 

  151. K. Gao, S. Prucnal, W. Skorupa, M. Helm, S. Zhou, Formation and photoluminescence of GaAs1−xNx dilute nitride achieved by N-implantation and flash lamp annealing. Appl. Phys. Lett. 105, 012107 (2014)

    Article  ADS  Google Scholar 

  152. K. Gao, S. Prucnal, W. Skorupa, M. Helm, S. Zhou, Origin and enhancement of the 1.3 um luminescence from GaAs treated by ion-implantation and flash lamp annealing. J. Appl. Phys. 114, 093511 (2013)

    Article  ADS  Google Scholar 

  153. C.V. Reddy, S. Fung, C.D. Beling, Nature of the bulk defects in GaAs through high-temperature quenching studies. Phys. Rev. B 54, 11290–11297 (1996)

    Article  ADS  Google Scholar 

  154. J. Furdyna, Diluted magnetic semiconductors. J. Appl. Phys. 64, R29–R56 (1988)

    Article  ADS  Google Scholar 

  155. T. Dietl, H. Ohno, F. Matsukura, J. Cibert, D. Ferrand, Zener model description of ferromagnetism in Zinc-blende magnetic semiconductors. Science 287, 1019–1022 (2000)

    Article  ADS  Google Scholar 

  156. H. Ohno, A window on the future of spintronics. Nat. Mater. 9, 952–954 (2010)

    Article  ADS  Google Scholar 

  157. S. Zhou et al., Precise tuning of the Curie temperature of (Ga, Mn)As-based magnetic semiconductors by hole compensation: Support for valence-band ferromagnetism. Phys. Rev. B 94, 075205 (2016)

    Article  ADS  Google Scholar 

  158. C. Zener, Interaction between the d shells in the transition metals. Phys. Rev. 81, 440–444 (1951)

    Article  ADS  MATH  Google Scholar 

  159. T. Dietl, Ferromagnetic semiconductors. Semicond. Sci. Technol. 17, 377–392 (2002)

    Article  ADS  Google Scholar 

  160. M. Khalid et al., Synthesis and characterization of MnAs and MnP nanoclusters embedded in III–V semiconductors. Mater. Res. Express 1, 026105 (2014)

    Article  ADS  Google Scholar 

  161. H.-D. Geiler, E. Glaser, G. Götz, M. Wagner, Explosive crystallization in silicon. J. Appl. Phys. 59(9), 3091–3099 (1986)

    Article  ADS  Google Scholar 

  162. C. Grigoropoulos, M. Rogers, S.H. Ko, A.A. Golovin, B.J. Matkowsky, Explosive crystallization in the presence of melting. Phys. Rev. B 73, 184125 (2006)

    Article  ADS  Google Scholar 

  163. K. Murakami, O. Eryu, K. Takita, K. Masuda, Explosive crystallization starting from an amorphous-silicon surface region during long-pulse laser irradiation. Phys. Rev. Lett. 59, 2203–2206 (1987)

    Article  ADS  Google Scholar 

  164. D. Reichel, W. Skorupa, W. Lerch, J.C. Gelepy, Temperature measurement in rapid thermal processing with focus on the application to flash lamp annealing. Crit. Rev. Solid State Mater. Sci. 36(2), 102 (2011)

    Article  ADS  Google Scholar 

  165. K. Ohdaira, T. Fujiwara, Y. Endo, S. Nishizaki, H. Matsumura, Explosive crystallization of amorphous silicon films by flash lamp annealing. J. Appl. Phys. 106(4), 044907 (2009). https://doi.org/10.1063/1.3195089

    Article  ADS  Google Scholar 

  166. K. Ohdaira, T. Nishikawa, K. Shiba, H. Takemoto, H. Matsumura, Polycrystalline Si films with unique microstructures formed from amorphous Si films by non-thermal equilibrium flash lamp annealing. Physica Status Solidi 7(3–4), 605–607 (2010)

    Google Scholar 

  167. M.A. Herman, W. Richter, H. Sitter, Epitaxy—Physical Principles and Technical Implementation. Springer Series in Materials Science (Springer, Berlin, Heidelberg, 2004)

    Book  Google Scholar 

  168. M. Smith, R. McMahon, M. Voelskow, D. Panknin, W. Skorupa, Modelling of flash-lamp-induced crystallization of amorphous silicon thin films on glass. J. Cryst. Growth 285, 249–260 (2005)

    Article  ADS  Google Scholar 

  169. B. Pécz, L. Dobos, D. Panknin, W. Skorupa, C. Lioutas, N. Vouroutzis, Crystallization of amorphous-Si films by flash lamp annealing. Appl. Surf. Sci.242, 185–191 (2005)

    Google Scholar 

  170. K. Ohdaira, The control of the film stress of Cat-CVD a-Si films and its impact on explosive crystallization induced by flash lamp annealing. Thin Solid Films 575, 21–24 (2015)

    Article  ADS  Google Scholar 

  171. K. Yamakawa, K. Mai, O. Arisumi, T. Arikado, M. Yoshioka, T. Owada, K. Okumura, Novel Pb(Ti, Zr)O3 (PZT) crystallization technique using flash lamp for ferroelectric RAM (FeRAM) embedded lsis and one transistor type FeRAM devices. Jpn. Soc. Appl. Phys. 41 (1/4B), 2630–2634 (2002)

    Article  ADS  Google Scholar 

  172. R.A. McMahon, M.P. Smith, K.A. Steffen, M. Voelskow, W. Anwand, W. Skorupa, Flash-lamp annealing of semiconductor materials—applications and process models. Vacuum 81, 1301–1305 (2007)

    Article  ADS  Google Scholar 

  173. D.-H. Kim, B.-K. Kim, H.J. Kim, S. Park, Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 C using Xe arc flash of 400 μs. Thin Solid Films 520, 6581–6588 (2012)

    Article  ADS  Google Scholar 

  174. G. Ferro, E.K. Polychroniadis, D. Panknin, W. Skorupa, J. Stoemenos, Y. Monteil, Effect of the crystallization conditions on the epitaxial relationship of Si deposited on 3C-SiC(100). Mater. Sci. Forum 527–529, 1563–1566 (2006)

    Article  Google Scholar 

  175. K. Ohdaira, N. Tomura, S. Ishii, H. Matsumura, Lateral crystallization velocity in explosive crystallization of amorphous silicon films induced by flash lamp annealing. Electrochem. Solid-State Lett. 14(9), H372–H374 (2011)

    Article  Google Scholar 

  176. K. Ohdaira, A method to evaluate explosive crystallization velocity of amorphous silicon films during flash lamp annealing. Can. J. Phys. 92(7/8), 718–722 (2014)

    Article  ADS  Google Scholar 

  177. K. Ohdaira, H. Matsumura, Flash-lamp-induced explosive crystallization of amorphous germanium films leaving behind periodic microstructures. Thin Solid Films 524, 161–165 (2012)

    Article  ADS  Google Scholar 

  178. K. Ohdaira, K. Sawada, N. Usami, S. Varlamov, H. Matsumura, Large-grain polycrystalline silicon films formed through flash-lamp-induced explosive crystallization. Jpn. J. Appl. Phys. 51, 10NB15 (2012)

    Article  Google Scholar 

  179. K. Ohdaira, H. Matsumura, Liquid-phase explosive crystallization of electron-beam-evaporated a-Si films induced by flash lamp annealing. J. Cryst. Growth 362, 149–152 (2013)

    Article  ADS  Google Scholar 

  180. K. Ohdaira, S. Ishii, N. Tomura, H. Matsumura, Polycrystalline silicon films with nanometer-sized dense fine grains formed by flash-lamp-induced crystallization. J. Nanosci. Nanotechnol. 12(1), 591–595 (2012)

    Article  Google Scholar 

  181. K. Ohdaira, The control of the film stress of Cat-CVD a-Si films and its impact on explosive crystallization induced by flash lamp annealing. Thin Solid Films 575, 21–24 (2015)

    Article  ADS  Google Scholar 

  182. K. Ohdaira, T. Nishikawa, K. Shiba, H. Takemoto, H. Matsumura, Drastic suppression of the optical reflection of flash-lamp-crystallized poly-Si films with spontaneously formed periodic microstructures. Thin Solid Films 518, 6061–6065 (2010)

    Article  ADS  Google Scholar 

  183. W. Skorupa, T. Schumann, L. Rebohle, Millisecond thermal processing using flash lamps for the advancement of thin layers and functional coatings. Surf. Coat. Technol. 314, 169–176 (2016)

    Article  Google Scholar 

  184. K. Ohdaira, N. Tomura, S. Ishii, H. Matsumura, Flash-lamp-crystallized polycrystalline silicon films with high hydrogen concentration formed from Cat-CVD a-Si films. Thin Solid Films 519, 4459–4461 (2011)

    Article  ADS  Google Scholar 

  185. K. Ohdaira, Defect termination of flash-lamp-crystallized large-grain polycrystalline silicon films by high-pressure water vapor annealing. Jpn. J. Appl. Phys. 52, 04CR11 (2013)

    Article  Google Scholar 

  186. R. Ishihara, P.C. van der Wilt, B.D. van Dijk, A. Burtsev, J.W. Metselaar, C.I.M. Beenakker, Advanced excimer-laser crystallization process for single-crystalline thin film transistors. Thin Solid Films 427(1–2), 77–85 (2003). https://doi.org/10.1016/s0040-6090(02)01250-6

    Article  ADS  Google Scholar 

  187. T. Henke, J.W. Bartha, L. Rebohle, U. Merkel, R. Hübner, M. Albert, W. Skorupa, Formation of regularly arranged large grain silicon islands by using embedded micro mirrors in the flash crystallization of amorphous silicon. J. Appl. Phys. 115(3), 034301 (2014). https://doi.org/10.1063/1.4861398

    Article  ADS  Google Scholar 

  188. S.-M. Jung et al., Three dimensionally stacked NAND flash memory technology using stacking single crystal Si layers on ILD and TANOS structure for beyond 30 nm node. Int. Electron. Dev. Meet. 503 (2006), https://doi.org/10.1109/iedm.2006.346902

  189. S.-Y. Oh, C.-G. Ahn, J.-H. Yang, W.-J. Cho, M.-G. Jang, A two-layer stacked polycrystalline silicon thin film transistor complementary metal oxide semiconductor inverters using laser crystallized channel with high-k and metal gate on Si. Jpn. J. Appl. Phys. 47(4), 3091–3094 (2008). https://doi.org/10.1143/jjap.47.3091

    Article  ADS  Google Scholar 

  190. Y. Sonoda, K. Ohdaira, Effect of antireflection coating on the crystallization of amorphous silicon films by flash lamp annealing. Jpn. J. Appl. Phys. 56(4S), 04CS10 (2017). https://doi.org/10.7567/jjap.56.04cs10

    Article  Google Scholar 

  191. R.B. Iverson, R. Reif, Recrystallization of amorphized polycrystalline silicon films on SiO2: temperature dependence of the crystallization parameters. J. Appl. Phys. 62(5), 1675–1681 (1987). https://doi.org/10.1063/1.339591

    Article  ADS  Google Scholar 

  192. J.-W. Choi et al., Rapid activation of phosphorous-implanted polycrystalline Si thin films on glass substrates using flash-lamp annealing. ECS J. Solid State Sci. Techn. 3(11), P391–P395 (2014)

    Article  Google Scholar 

  193. J.S. Im, H.J. Kim, On the super lateral growth phenomenon observed in excimer laser-induced crystallization of thin Si films. Appl. Phys. Lett. 64, 2303 (1994). https://doi.org/10.1063/1.111651

    Article  ADS  Google Scholar 

  194. K.B. Kadyrakunov, E.V. Nidaev, A.E. Plotnikov, L.S. Smirnov, I.G. Melnik, M.V. Makeev, Flash lamp annealing of ion-implanted polycrystalline silicon. Phys. Stat. Sol. (a) 75, 483 (1983)

    Article  ADS  Google Scholar 

  195. J.S. Im, H.J. Kim, M.O. Thompson, Phase transformation mechanisms involved in excimer laser crystallization of amorphous silicon films. Appl. Phys. Lett. 63(14), 1969–1971 (1993). https://doi.org/10.1063/1.110617

    Article  ADS  Google Scholar 

  196. F. Terai, S. Matunaka, A. Tauchi, C. Ichimura, T. Nagatomo, T. Homma, Xenon flash lamp annealing of poly-Si thin films. J. Electrochem. Soc. 153(7), H147 (2006). https://doi.org/10.1149/1.2200291

    Article  Google Scholar 

  197. D. Toet, P.M. Smith, T.W. Sigmon, T. Takehara, C.C. Tsai, W.R. Harshbarger, M.O. Thompson, Laser crystallization and structural characterization of hydrogenated amorphous silicon thin films. J. Appl. Phys. 85(11), 7914–7918 (1999). https://doi.org/10.1063/1.370607

    Article  ADS  Google Scholar 

  198. S. Saxena, D.C. Kim, J.H. Park, J. Jang, Polycrystalline silicon thin-film transistor using Xe flash-lamp annealing. IEEE Electron Dev. Lett. 31, 1242–1244 (2010)

    Google Scholar 

  199. S. Saxena, J. Jang, Protrusions of super grains formed by ultrashort Xe flash-lamp annealing of amorphous silicon and its effect on the performances of thin-film transistors. IEEE Trans. Electron Dev. 58, 2638–2643 (2011)

    Article  ADS  Google Scholar 

  200. T. Mudgal, K. Bhadrachalam, P. Bischoff, D. Cormier, R.G. Manley, K.D. Hirschman, Communication—CMOS thin-film transistors via Xe flash-lamp crystallization of patterned amorphous Si. ECS J. Solid State Sci. Technol. 6(12), Q179–Q181 (2017). https://doi.org/10.1149/2.0021802jss

    Article  Google Scholar 

  201. P.C. van der Wilt, B.D. van Dijk, G.J. Bertens, R. Ishihara, C.I.M. Beenakker, Formation of location-controlled crystalline islands using substrate-embedded seeds in excimer-laser crystallization of silicon films. Appl. Phys. Lett. 79(12), 1819–1821 (2001). https://doi.org/10.1063/1.1402641

    Article  ADS  Google Scholar 

  202. K. Ohdaira, K. Shiba, H. Takemoto, T. Fujiwara, Y. Endo, S. Nishizaki, Y.R. Jang, H. Matsumura, Precursor Cat-CVD a-Si films for the formation of high-quality poly-Si films on glass substrates by flash lamp annealing. Thin Solid Films 517(12), 3472–3475 (2009). https://doi.org/10.1016/j.tsf.2009.01.075

    Article  ADS  Google Scholar 

  203. T. Watanabe, K. Ohdaira, The formation of poly-Si films on flat glass substrates by flash lamp annealing. Thin Solid Films 595, 235–238 (2015). https://doi.org/10.1016/j.tsf.2015.08.003

    Article  ADS  Google Scholar 

  204. K. Ohdaira, T. Fujiwara, Y. Endo, K. Shiba, H. Takemoto, H. Matsumura, Selection of material for the back electrodes of thin-film solar cells using polycrystalline silicon films formed by flash lamp annealing. Jpn. J. Appl. Phys 49(4), 04DP04 (2010). https://doi.org/10.1143/jjap.49.04dp04

    Article  Google Scholar 

  205. D. Sato, K. Ohdaira, Effect of starting point formation on the crystallization of amorphous silicon films by flash lamp annealing, Jpn. J. Appl Phys. 57(4) (2018). https://doi.org/10.7567/jjap.57.04fs05

    Article  Google Scholar 

  206. K. Ohdaira, T. Nishikawa, S. Ishii, N. Tomura, H. Matsumura, Carrier transport properties of flash-lamp-crystallized poly-Si films, in IEEE 35th Photovoltaics Specialists Conference 2010, p. 3726

    Google Scholar 

  207. K. Ohdaira, H. Takemoto, K. Shiba, H. Matsumura, Drastic improvement of minority carrier lifetimes observed in hydrogen-passivated flash-lamp-crystallized polycrystalline silicon films. Appl. Phys. Express 2, 061201 (2009). https://doi.org/10.1143/apex.2.061201

    Article  ADS  Google Scholar 

  208. K. Gao, S. Prucnal, A. Mücklich, W. Skorupa, S. Zhou, Fabrication of Si1−xGex alloy on silicon by Ge-Ion-implantation and short-time-annealing. Acta Phys. Polon. A 123(5), 858–859 (2012)

    Article  Google Scholar 

  209. Y. Shiraki, N. Usami, Silicon-Germanium (SiGe) Nanostructures—Production, Properties and Applications in Electronics. Woodhead Publishing in Materials (Woodhead Publishing Limited, Philadelphia, New Delhi, 2011)

    Google Scholar 

  210. M. Voelskow, W. Skorupa, J. Pezoldt, T. Kups, Controlled localised melting in silicon by high dose germanium implantation and flash lamp annealing. Nucl. Instrum Method Phys Res B 267, 1269–1272 (2009)

    Article  ADS  Google Scholar 

  211. M. Voelskow, R. Yankov, W. Skorupa, J. Pezoldt, T. Kups, Buried melting in germanium implanted silicon by millisecond flash lamp annealing. Appl. Phys. Lett. 93, 151903 (2008)

    Article  ADS  Google Scholar 

  212. M. Voelskow, I. Stoimenos, L. Rebohle, W. Skorupa, The formation of near surface SiGe layers with combined high-dose ion implantation and flash-lamp annealing. Physics Status Solidi C 8(3), 960–963 (2011)

    Article  ADS  Google Scholar 

  213. I.Z. Mitrovic, O. Buiu, S. Hall, D.M. Bagnall, P. Ashburn, Review of SiGe HBTs on SOI. Solid State Electron. 49(9), 1556–1567 (2005)

    Article  ADS  Google Scholar 

  214. D. Bolze, B. Heinemann, J. Gelpey, S. McCoy, W. Lerch, Millisecond annealing of high-performance SiGe HBTs, in 17th IEEE International Conference on Advanced Thermal Processing of Semiconductors. RTP (2009)

    Google Scholar 

  215. F. La Via, A. Severino, R. Anzalone, C. Bongiorno, G. Litrico, M. Mauceri, M. Schöler, P. Schuh, P. Wellmann, From thin film to bulk 3C-SiC growth: understanding the mechanism of defect reduction. Mater. Sci. Semicond. Process. 78, 57–68 (2018)

    Article  Google Scholar 

  216. G. Ferro, D. Panknin, J. Stoemenos, C. Baloud, J. Camassel, E. Polychroniadis, Y. Monteil, W. Skorupa, Investigation of thick 3C-SiC films re-grown on thin 35 nm “Flash Lamp Annealed” 3C-SiC layers. Mater. Sci. Forum 457–460, 313–316 (2004)

    Article  Google Scholar 

  217. G. Ferro, 3C-SiC heteroepitaxial growth on silicon: the quest for holy grail. Crit. Rev. Solid State Mater. Sci. 40(1), 56–76 (2015)

    Article  ADS  Google Scholar 

  218. D. Panknin, J. Stoemenos, M. Eickhoff, V. Heera, M. Voelskow, W. Skorupa, The beneficial role of flash lamp annealing on the epitaxial growth of the 3C–SiC on Si. Appl. Surf. Sci. 184, 377–382 (2001)

    Article  ADS  Google Scholar 

  219. W. Skorupa, W. Anwand, D. Panknin, M. Voelskow, R.A. Yankov, T. Gebel, Advanced thermal processing of semiconductor materials in the millisecond range. Vacuum 78, 673–677 (2005)

    Article  ADS  Google Scholar 

  220. M. Voelskow, W. Skorupa, M.P. Smith, R.A. McMahon, Homogenization of the melting depth in SiC on Si structures during flash lamp irradiation. Appl. Phys. Lett. 87, 241901 (2005)

    Article  ADS  Google Scholar 

  221. J. Pezoldt, F.M. Morales, Th Stauden, Ch. Förster, E. Polychroniadis, J. Stoemenos, D. Panknin, W. Skorupa, Growth acceleration in FLASiC assisted short time liquid phase epitaxy by melt modification. Mater. Sci. Forum 527–529, 295–298 (2006)

    Article  Google Scholar 

  222. S. Furukawa, T. Miyasato, Quantum size effects on the optical band gap of microcrystalline Si:H. Phys. Rev. B 38(8), 5726–5729 (1988). https://doi.org/10.1103/PhysRevB.38.5726

    Article  ADS  Google Scholar 

  223. L.T. Canham, Silicon quantum wire array fabrication by electrochemical and chemical dissolution of wafers. Appl. Phys. Lett. 57(10), 1046–1048 (1990). https://doi.org/10.1063/1.103561

    Article  ADS  Google Scholar 

  224. V. Lehmann, U. Gösele, Porous silicon formation: a quantum wire effect. Appl. Phys. Lett. 58(8), 856 (1991)

    Article  ADS  Google Scholar 

  225. G. Franzò, V. Vinciguerra, F. Priolo, The excitation mechanism of rare-earth ions in silicon nanocrystals. Appl. Phys. A 69, 3–12 (1999). https://doi.org/10.1007/s003399900087

    Article  ADS  Google Scholar 

  226. P.G. Kik, A. Polman, Exciton–erbium interactions in Si nanocrystal-doped SiO2. J. Appl. Phys. 88(4), 1992 (2000)

    Article  ADS  Google Scholar 

  227. S. Tiwari, F. Rana, K. Chan, L. Shi, H. Hanafi, Single charge and confinement effects in nano-crystal memories. Appl. Phys. Lett. 69(9), 1232–1234 (1996). https://doi.org/10.1063/1.117421

    Article  ADS  Google Scholar 

  228. J. von Borany, T. Gebel, K.-H. Stegemann, H.-J. Thees, M. Wittmaack, Memory properties of Si+ implanted gate oxides: from MOS capacitors to nvSRAM. Solid-State Electr. 46, 1729–1737 (2002)

    Article  ADS  Google Scholar 

  229. M. Zacharias, J. Heitmann, R. Scholz, U. Kahler, M. Schmidt, J. Bläsing, Size-controlled highly luminescent silicon nanocrystals: A SiO/SiO2 superlattice approach. Appl. Phys. Lett. 80(4), 661–663 (2002). https://doi.org/10.1063/1.1433906

    Article  ADS  Google Scholar 

  230. J. Heitmann, F. Müller, M. Zacharias, U. Gösele, Silicon nanocrystals: size matters. Adv. Mater. 17(7), 795 (2005)

    Article  Google Scholar 

  231. F. Priolo, T. Gregorkiewicz, M. Galli, T.F. Krauss, Silicon nanostructures for photonics and photovoltaics. Nature nanotechn. 9, 19 (2014). https://doi.org/10.1038/NNANO.2014.271

    Article  ADS  Google Scholar 

  232. K. Dohnalova, T. Gregorkiewicz, K. Kusova, Silicon quantum dots: surface matters. J. Phys. Condens. Matter 26(17), 173201 (2014). https://doi.org/10.1088/0953-8984/26/17/173201

    Google Scholar 

  233. L. Rebohle, J. von Borany, H. Fröb, W. Skorupa, Blue photo- and electroluminescence of silicon dioxide layers ion-implanted with group IV elements. Appl. Phys. B 71, 131 (2000)

    Article  ADS  Google Scholar 

  234. G.A. Kachurin, I.E. Tyschenko, K.S. Zhuravlev, N.A. Pazdnikov, V.A. Volodin, A.K. Gutakovsky, A.F. Leier, W. Skorupa, R.A. Yankov, Visible and near-infrared luminescence from silicon nanostructures formed by ion implantation and pulse annealing. Nuc. Instr. Meth. B 122, 571–574 (1997)

    Article  ADS  Google Scholar 

  235. G.A. Kachurin, S.G. Cherkova, D.V. Marin, R.A. Yankov, M. Deutschmann, Formation of light-emitting Si nanostructures in SiO2 by pulsed anneals. Nanotechnology 19(35), 355305 (2008). https://doi.org/10.1088/0957-4484/19/35/355305

    Article  Google Scholar 

  236. D. Hiller, S. Gutsch, A.M. Hartel, P. Löper, T. Gebel, M. Zacharias, A low thermal impact annealing process for SiO2-embedded Si nanocrystals with optimized interface quality. J. Appl. Phys. 115(13), 134311 (2014). https://doi.org/10.1063/1.4870819

    Article  ADS  Google Scholar 

  237. N. Yoshioka, A. Heya, N. Matsuo, K. Kohama, K. Ito, Effect of SiOx capping film on crystallization of Ge film by flash lamp annealing, in 2017 24th International Workshop on Active-Matrix Flatpanel Displays and Devices (2017)

    Google Scholar 

  238. A. Kanjilal, L. Rebohle, M. Voelskow, M. Helm, W. Skorupa, Controlling blue-violet electroluminescence of Ge-rich Er-doped SiO2 layers by millisecond annealing using flash lamps. J. Appl. Phys. 107(2), 023114 (2010). https://doi.org/10.1063/1.3296252

    Article  ADS  Google Scholar 

  239. A. Kanjilal, S. Prucnal, L. Rebohle, M. Voelskow, M. Helm, W. Skorupa, Comparison of the room temperature 1.53 μm Er photoluminescence from flash lamp and furnace annealed Er-doped Ge-rich SiO2 layers. J. Appl. Phys. 107(11), 113523 (2010). https://doi.org/10.1063/1.3437652

    Article  ADS  Google Scholar 

  240. L. Rebohle, J. von Borany, R.A. Yankov, W. Skorupa, I.E. Tyschenko, H. Fröb, K. Leo, Strong blue and violet photoluminescence and electroluminescence from germanium-implanted and silicon-implanted silicon-dioxide layers. Appl. Phys. Lett. 71, 2809 (1997)

    Article  ADS  Google Scholar 

  241. A. Kanjilal, L. Rebohle, M. Voelskow, W. Skorupa, M. Helm, Enhanced blue-violet emission by inverse energy transfer to the Ge-related oxygen deficiency centers via Er3+ ions in metal-oxide semiconductor structures. Appl. Phys. Lett. 94(5), 051903 (2009). https://doi.org/10.1063/1.3077169

    Article  ADS  Google Scholar 

  242. L. Rebohle, A. Kanjilal, W. Skorupa, M. Helm, The inverse energy transfer between Ge nanocrystals and erbium in SiO2 and its dependence on microstructure. Opt. Mater. 33(7), 1075–1078 (2011). https://doi.org/10.1016/j.optmat.2010.08.019

    Article  ADS  Google Scholar 

  243. S. Seidel, L. Rebohle, S. Purcnal, D. Lehninger, R. Hübner, V. Klemm, W. Skorupa, J. Heitmann, Microstructure and charge trapping in ZrO2- and Si3N4-based superlattice layer systems with Ge nanoparticles. Thin Solid Films 645, 124 (2018). https://doi.org/10.1016/j.tsf.2017.10.029

    Article  ADS  Google Scholar 

  244. J.A. del Alamo, Nanometre-scale electronics with III–V compound semiconductors. Nature 479(7373), 317–323 (2011). https://doi.org/10.1038/nature10677

    Article  ADS  Google Scholar 

  245. http://www.ioffe.ru/SVA/NSM/. Accessed 11 October 2017

  246. S.M. Sze, K. Ng Kwok, Physics of Semiconductor Devices, 3rd edn. (Wiley-Interscience, Hoboken, N.J., 2007)

    Google Scholar 

  247. O. Moutanabbir, U. Gösele, Heterogeneous integration of compound semiconductors. Annu. Rev. Mater. Res. 40(1), 469–500 (2010). https://doi.org/10.1146/annurev-matsci-070909-104448

    Article  ADS  Google Scholar 

  248. P. Demeester, A. Ackaert, G. Coudenys, I. Moerman, L. Buydens, I. Pollentier, P. Van Daele, Relaxed lattice-mismatched growth of III–V semiconductors. Prog. Cryst. Growth Charact. 22, 53–141 (1991)

    Article  Google Scholar 

  249. P. Vennéguès, B. Beaumont, V. Bousquet, M. Vaille, P. Gibart, Reduction mechanisms for defect densities in GaN using one- or two-step epitaxial lateral overgrowth methods. J. Appl. Phys. 87(9), 4175–4181 (2000). https://doi.org/10.1063/1.373048

    Article  ADS  Google Scholar 

  250. T.A. Langdo, C.W. Leitz, M.T. Currie, E.A. Fitzgerald, A. Lochtefeld, D.A. Antoniadis, High quality Ge on Si by epitaxial necking. Appl. Phys. Lett. 76(25), 3700–3702 (2000). https://doi.org/10.1063/1.126754

    Article  ADS  Google Scholar 

  251. J.S. Park, J. Bai, M. Curtin, B. Adekore, M. Carroll, A. Lochtefeld, Defect reduction of selective Ge epitaxy in trenches on Si(001) substrates using aspect ratio trapping. Appl. Phys. Lett. 90(5), 052113 (2007). https://doi.org/10.1063/1.2435603

    Article  ADS  Google Scholar 

  252. Y. Xia, P. Yang, Y. Sun, Y. Wu, B. Mayers, B. Gates, Y. Yin, F. Kim, H. Yan, One-dimensional nanostructures: synthesis, characterization and applications. Adv. Mater. 15(5), 535 (2003)

    Google Scholar 

  253. T. Li, M. Mastro, A. Dadgar (eds.), III–V compound semiconductors: integration with silicon-based microelectronics (CRC Press, Boca Raton, Fla., 2011)

    Google Scholar 

  254. C.W. White et al., Encapsulated semiconductor nanocrystals formed in insulators by ion beam synthesis. Nucl. Instr. Methods B 141, 228–240 (1998)

    Article  ADS  Google Scholar 

  255. A. Tchebotareva, J.L. Brebner, S. Roorda, P. Desjardins, C.W. White, Structural properties of InAs nanocrystals formed by sequential implantation of In and As ions in the Si (100) matrix. J. Appl. Phys. 92(8), 4664–4671 (2002). https://doi.org/10.1063/1.1507822

    Article  ADS  Google Scholar 

  256. F. Komarov, L. Vlasukova, W. Wesch, A. Kamarou, O. Milchanin, S. Grechnyi, A. Mudryi, A. Ivaniukovich, Formation of InAs nanocrystals in Si by high-fluence ion implantation. Nucl. Instr. Methods B 266(16), 3557–3564 (2008). https://doi.org/10.1016/j.nimb.2008.06.010

    Article  ADS  Google Scholar 

  257. F. Komarov, L. Vlasukova, O. Milchanin, W. Wesch, E. Wendler, J. Zuk, I. Parkhomenko, Ion-beam synthesis and characterization of narrow-gap A3B5 nanocrystals in Si: effect of implantation and annealing regimes. Mat. Sci. Eng. B 178, 1169–1177 (2013)

    Article  Google Scholar 

  258. M.A. Sortica, B. Canut, M. Hatori, J.F. Dias, N. Chauvin, O. Marty, Optical and structural properties of InAs nanoclusters in crystalline Si obtained through sequential ion implantation and RTA. Physica Status Solidi (a) 212(12), 2686–2691 (2015). https://doi.org/10.1002/pssa.201532448

    Article  ADS  Google Scholar 

  259. F. Komarov, L. Vlasukova, O. Milchanin, A. Komarov, W. Wesch, A.K. Togambayeva, Effect of implantation and annealing regimes on ion-beam synthesis of InAs nanocrystals. Lith. J. Phys. 49(1), 105–110 (2009). https://doi.org/10.3952/lithjphys.49112

    Article  Google Scholar 

  260. A.F. Komarov, F.F. Komarov, O.V. Milchanin, L.A. Vlasukova, I.N. Parkhomenko, V.V. Mikhaiov, M.A. Mokhovikov, S.A. Miskevich, Formation of InAs nanoclusters in silicon by high-dose ion implantation: experimental data and simulation results. Tech. Phys. 60(9), 1335 (2015)

    Article  Google Scholar 

  261. R. Khelifi, M. Frégnaux, Y. Le Gall, D. Muller, G. Schmerber, D. Mathiot, Ion beam synthesis of embedded III–As nanocrystals in silicon substrate. Phys Status Solidi (c) 12(1–2), 55–59 (2015). https://doi.org/10.1002/pssc.201400107

    Article  ADS  Google Scholar 

  262. S. Prucnal, M. Turek, A. Drozdziel, K. Pyszniak, S.Q. Zhou, A. Kanjilal, W. Skorupa, J. Zuk, Formation of InAs quantum dots in silicon by sequential ion implantation and flash lamp annealing. Appl. Phys. B 101(1–2), 315–319 (2010). https://doi.org/10.1007/s00340-010-4140-5

    Article  ADS  Google Scholar 

  263. S. Prucnal et al., Optical and microstructural properties of self-assembled InAs quantum structures in silicon. Cent. Eur. J. Phys. 9(2), 338 (2011). https://doi.org/10.2478/s11534-010-0107-8

    Article  MathSciNet  Google Scholar 

  264. S. Prucnal et al., n-InAs nanopyramids fully integrated into silicon. Nano Lett. 11(7), 2814–2818 (2011). https://doi.org/10.1021/nl201178d

    Article  ADS  Google Scholar 

  265. G. Astromskas, K. Storm, O. Karlström, P. Caroff, M. Borgström, L.-E. Wernersson, Doping Incorporation in InAs nanowires characterized by capacitance measurements. J. Appl. Phys. 108(5), 054306 (2010). https://doi.org/10.1063/1.3475356

    Article  ADS  Google Scholar 

  266. M. Brötzmann, U. Vetter, H. Hofsäss, BN/ZnO heterojunction diodes with apparently giant ideality factors. J. Appl. Phys. 106(6), 063704 (2009). https://doi.org/10.1063/1.3212987

    Article  ADS  Google Scholar 

  267. S. Prucnal et al., InP nanocrystals on silicon for optoelectronic applications. Nanotechnology 23(48), 485204 (2012). https://doi.org/10.1088/0957-4484/23/48/485204

    Article  Google Scholar 

  268. S. Prucnal, W. Skorupa, Millisecond-range liquid-phase processing of silicon-based hetero-nanostructures, in Subsecond Annealing of Advanced Materials, ed. by W. Skorupa, H. Schmidt. Springer Series in Materials Science 192 (Springer, Heidelberg, 2011), pp. 189–210

    Chapter  Google Scholar 

  269. S. Prucnal, M.O. Liedke, S. Zhou, M. Voelskow, A. Mücklich, M. Turek, J. Zuk, W. Skorupa, Conductivity type and crystal orientation of GaAs nanocrystals fabricated in silicon by ion implantation and flash lamp annealing. Nucl. Instr. Methods B 312, 104–109 (2013). https://doi.org/10.1016/j.nimb.2013.07.014

    Article  ADS  Google Scholar 

  270. R.C. Newman, The upper limits of useful n- and p-type doping in GaAs and AlAs. Mat. Sci. Eng. B 66, 39–45 (1999)

    Article  Google Scholar 

  271. E.P. Donovan, F. Spaepen, D. Turnbull, J.M. Poate, D.C. Jacobson, Heat of crystallization and melting point of amorphous silicon. Appl. Phys. Lett. 42(8), 698–700 (1983). https://doi.org/10.1063/1.94077

    Article  ADS  Google Scholar 

  272. M.O. Thompson, G.J. Galvin, J.W. Mayer, P.S. Peercy, J.M. Poate, D.C. Jacobson, A.G. Cullis, N.G. Chew, Melting temperature and explosive crystallization of amorphous silicon during pulsed laser irradiation. Phys. Rev. Lett. 52(26), 2360–2363 (1984). https://doi.org/10.1103/PhysRevLett.52.2360

    Article  ADS  Google Scholar 

  273. R. Wutzler, L. Rebohle, S. Prucnal, R. Hübner, S. Facsko, R. Böttger, M. Helm, W. Skorupa, III–V nanocrystal formation in ion-implanted Ge and Si via liquid phase epitaxy during short-time flash lamp annealing. Mater. Sci. Semicond. Process. 42, 166–169 (2016). https://doi.org/10.1016/j.mssp.2015.07.075

    Article  Google Scholar 

  274. F.C. Frank, J.H. van der Merwe, One-dimensional dislocations. II. Misfitting monolayers and oriented overgrowth. Proc. R. Soc. Lond. A 198(1053), 216–225 (1949)

    Google Scholar 

  275. R. Wutzler, Integration of III–V compound semiconductors in silicon via ion beam implantation and flash lamp annealing. Dissertation, Technical University of Dresden, 2016

    Google Scholar 

  276. S. Prucnal et al., III–V/Si on silicon-on-insulator platform for hybrid nanoelectronics. J. Appl. Phys. 115(7), 074306 (2014). https://doi.org/10.1063/1.4865875

    Article  ADS  Google Scholar 

  277. R. Wutzler, L. Rebohle, S. Prucnal, J. Grenzer, R. Hübner, R. Böttger, W. Skorupa, M. Helm, Formation of InxGa1−xAs nanocrystals in thin Si layers by ion implantation and flash lamp annealing. New J. Phys. 19(6), 063019 (2017). https://doi.org/10.1088/1367-2630/aa66a5

    Article  ADS  Google Scholar 

  278. R. Wutzler, L. Rebohle, S. Prucnal, F.L. Bregolin, R. Hübner, M. Voelskow, M. Helm, W. Skorupa, Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing. J. Appl. Phys. 117(17), 175307 (2015). https://doi.org/10.1063/1.4919775

    Article  ADS  Google Scholar 

  279. L. Rebohle, R. Wutzler, S. Prucnal, R. Hübner, Y.M. Georgiev, A. Erbe, R. Böttger, M. Glaser, A. Lugstein, M. Helm, W. Skorupa, Local formation of InAs nanocrystals in Si by masked ion implantation and flash lamp annealing. Phys. Status Solidi C 14, 1700188 (2017). https://doi.org/10.1002/pssc.201700188

    Article  Google Scholar 

  280. H. Schmid, M.T. Björk, J. Knoch, S. Karg, H. Riel, W. Riess, Doping limits of grown in situ doped silicon nanowires using phosphine. Nano Lett. 9, 173 (2009)

    Article  ADS  Google Scholar 

  281. M.S. Seifner, M. Sistani, F. Porrati, G. Di Prima, P. Pertl, M. Huth, A. Lugstein, S. Barth, Direct synthesis of hyperdoped germanium nanowires. ACS Nano 12, 1236–1241 (2018)

    Article  Google Scholar 

  282. K. Saito, E. Tokumitsu, T. Akatsuka, M. Miyauchi, T. Yamada, M. Konagai, K. Takahashi, Characterization of p-type GaAs heavily doped with carbon grown by metalorganic molecular-beam epitaxy. J. Appl. Phys. 64, 3975 (1988)

    Article  ADS  Google Scholar 

  283. A. Jochannes, S. Noack, W. Wesch, M. Glaser, A. Lugstein, C. Ronning, Anomalous plastic deformation and sputtering of ion irradiated silicon nanowires. Nano Lett. 15, 3800–3807 (2015)

    Article  ADS  Google Scholar 

  284. C. Ronning, C. Borschel, S. Geburt, R. Niepelt, Ion beam doping of semiconductor nanowires. Mater. Sci. Eng. R Rep. 70, 30–43 (2010)

    Article  Google Scholar 

  285. Y. Berencen et al., CMOS-compatible controlled hyperdoping of silicon nanowires. Adv. Mater. Interfac 5, 1800101 (2018)

    Article  Google Scholar 

  286. S. Prucnal et al., III–V semiconductor nanocrystal formation in silicon nanowires via liquid-phase epitaxy. Nano Res. 7, 1769–1776 (2014)

    Article  Google Scholar 

  287. M. Glaser et al., Synthesis, morphological, and electro-optical characterizations of metal/semiconductor nanowire heterostructures. Nano Lett. 16, 3507–3513 (2016)

    Article  ADS  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Prucnal, S., Rebohle, L., Reichel, D. (2019). Semiconductor Applications. In: Flash Lamp Annealing. Springer Series in Materials Science, vol 288. Springer, Cham. https://doi.org/10.1007/978-3-030-23299-3_4

Download citation

Publish with us

Policies and ethics