Skip to main content

A Hybrid NoC Enabling Fail-Operational and Hard Real-Time Communication in MPSoC

  • Conference paper
  • First Online:

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 11479))

Abstract

Multi-core processors, despite their technical and economic advantages, are yet hesitantly adopted in safety-critical embedded application domains such as automotive and avionics. A key issue is the potential interference on shared resources, such as interconnect and memory, between applications of different criticality which are running on a Multi-Processor System-on-Chip (MPSoC) with tens of individual cores. In this paper we propose the introduction of established protection switching, known from synchronous data networks, to a hybrid Network-on-Chip (NoC) in order to provide fault-tolerance for critical connections. Our hybrid NoC combines configurable Time-Division-Multiplexing (TDM) for critical task traffic with conventional packet switching for Best-Effort (BE) traffic. We analyze three different protection switching schemes for their worst case latencies in case of faulty NoC links and their resource overheads. Simulations with random traffic and 10% reserved resources for TDM connections reveal that the degradation of BE traffic performance due to the proposed TDM protection switching for critical traffic remains limited to about a 5% lower injection rate even in case of 1+1 protection, which can hence be considered affordable. We conclude that the proposed hybrid NoC is a suitable way to provide both hard real-time guarantees and fault-tolerance for critical connections in advanced MPSoCs.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   54.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   69.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Cocotb manual. https://cocotb.readthedocs.io/en/latest/. Accessed 22 Nov 2018

  2. ITU-T G.841: Types and characteristics of SDH network protection architectures. Technical report. International Telecommunication Union, October (1998)

    Google Scholar 

  3. Ahmadian, H., Obermaisser, R., Abuteir, M.: Time-triggered and rate-constrained on-chip communication in mixed-criticality systems. In: 2016 IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSOC, pp. 117–124, September 2016

    Google Scholar 

  4. Benini, L., Micheli, G.D.: Networks on chips: a new SoC paradigm. Computer 35(1), 70–78 (2002)

    Article  Google Scholar 

  5. Borkar, S.: Thousand core chips: a technology perspective. In: Proceedings of the 44th Annual Design Automation Conference, DAC 2007, pp. 746–749. ACM, New York (2007)

    Google Scholar 

  6. Chen, Y., Matus, E., Fettweis, G.P.: Combined packet and TDM circuit switching NoCs with novel connection configuration mechanism. In: 2017 IEEE International Symposium on Circuits and Systems, ISCAS, pp. 1–4, May 2017

    Google Scholar 

  7. Chen, Y., Matus, E., Fettweis, G.P.: Register-exchange based connection allocator for circuit switching NoCs. In: 2017 25th Euromicro International Conference on Parallel, Distributed and Network-based Processing, PDP, pp. 559–566, March 2017

    Google Scholar 

  8. Goossens, K., Dielissen, J., Radulescu, A.: Æthereal Network on Chip: concepts, architectures, and implementations. IEEE Des. Test Comput. 22(5), 414–421 (2005)

    Article  Google Scholar 

  9. Goossens, K., Hansson, A.: The Æthereal network on chip after ten years: goals, evolution, lessons, and future. In: Design Automation Conference, pp. 306–311, June 2010

    Google Scholar 

  10. Hansson, A., Subburaman, M., Goossens, K.: Aelite: a flit-synchronous Network on Chip with composable and predictable services. In: 2009 Design, Automation Test in Europe Conference Exhibition, pp. 250–255, April 2009

    Google Scholar 

  11. Hesham, S., Rettkowski, J., Goehringer, D., Ghany, M.A.A.E.: Survey on real-time Networks-on-Chip. IEEE Trans. Parallel Distrib. Syst. 28(5), 1500–1517 (2017)

    Article  Google Scholar 

  12. Kasapaki, E., Sparsø J.: The Argo NOC: combining TDM and GALS. In: 2015 European Conference on Circuit Theory and Design, ECCTD, pp. 1–4, August 2015

    Google Scholar 

  13. Kostrzewa, A., Saidi, S., Ernst, R.: Dynamic control for mixed-critical Networks-on-Chip. In: 2015 IEEE Real-Time Systems Symposium, pp. 317–326, December 2015

    Google Scholar 

  14. Kostrzewa, A., Saidi, S., Ecco, L., Ernst, R.: Ensuring safety and efficiency in Networks-on-Chip. Integr. VLSI J. 58(Suppl. C), 571–582 (2017)

    Article  Google Scholar 

  15. Liu, S., Jantsch, A., Lu, Z.: Analysis and evaluation of circuit switched NoC and packet switched NoC. In: 2013 Euromicro Conference on Digital System Design, pp. 21–28, September 2013

    Google Scholar 

  16. Lusala, A.K., Legat, J.D.: A hybrid NoC combining SDM-TDM based circuit-switching with packet-switching for real-time applications. In: 10th IEEE International NEWCAS Conference, pp. 17–20, June 2012

    Google Scholar 

  17. Nguyen, T.D.A., Kumar, A.: XNoC: A non-intrusive TDM circuit-switched Network-on-Chip. In: 2016 26th International Conference on Field Programmable Logic and Applications, FPL, pp. 1–11, August 2016

    Google Scholar 

  18. Sorensen, R.B., Pezzarossa, L., Sparso, J.: An area-efficient TDM NoC supporting reconfiguration for mode changes. In: 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip, NOCS, pp. 1–4, August 2016

    Google Scholar 

  19. Stefan, R.A., Molnos, A., Goossens, K.: dAElite: a TDM NoC supporting QoS, multicast, and fast connection set-up. IEEE Trans. Comput. 63(3), 583–594 (2014)

    Article  MathSciNet  Google Scholar 

  20. Yin, J., Zhou, P., Sapatnekar, S.S., Zhai, A.: Energy-efficient time-division multiplexed hybrid-switched NoC for heterogeneous multicore systems. In: 2014 IEEE 28th International Parallel and Distributed Processing Symposium, pp. 293–303, May 2014

    Google Scholar 

Download references

Acknowledgement

The work presented in this paper is supported by the German BMBF project ARAMiS II with funding ID 01 IS 16025.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Max Koenen .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Koenen, M., Doan, N.A.V., Wild, T., Herkersdorf, A. (2019). A Hybrid NoC Enabling Fail-Operational and Hard Real-Time Communication in MPSoC. In: Schoeberl, M., Hochberger, C., Uhrig, S., Brehm, J., Pionteck, T. (eds) Architecture of Computing Systems – ARCS 2019. ARCS 2019. Lecture Notes in Computer Science(), vol 11479. Springer, Cham. https://doi.org/10.1007/978-3-030-18656-2_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-18656-2_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-18655-5

  • Online ISBN: 978-3-030-18656-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics