Skip to main content

Heterogeneous 3D Nano-systems: The N3XT Approach?

  • Chapter
  • First Online:

Part of the book series: The Frontiers Collection ((FRONTCOLL))

Abstract

Coming generations of information technology will process unprecedented amounts of loosely-structured data, including streaming video and audio, natural languages, real-time sensor readings, contextual environments, or even brain signals. The computational demands of these abundant-data applications, such as deep learning-based AI, far exceed the capabilities of today’s computing systems and cannot be met by isolated improvements in transistor or memory technologies, or integrated circuit architectures alone. This chapter will discuss how new computing nanosystems can deliver radical improvements in the energy efficiency and scalability of abundant-data applications, in the range of 1000×, through major advances across the computing stack: new transistor and memory technologies, new integration approaches, and new architectures for computation immersed in memory. Nanosystems with such massive benefits are essential for enabling new frontiers of applications across a wide range of domains, from highly energy-constrained and deeply-embedded computing systems all the way to the cloud.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   109.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   149.00
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   139.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. M.M.S. Aly, T.F. Wu, A. Bartolo, Y.H. Malviya, W. Hwang, G. Hills, I. Markov, M. Wootters, M.M. Shulaker, H.-P. Wong, S. Mitra, The N3XT approach to energy-efficient abundant-data computing. Proc. IEEE 107, 19–48 (2019). https://doi.org/10.1109/JPROC.2018.2882603

    Article  Google Scholar 

  2. P. Wong, What will the next node offer us? in Hot Chips (2019). https://www.hotchips.org/hc31-keynotes-available-to-all/

  3. M.M.S. Aly, M. Gao, G. Hills, C. Lee, G. Pitner, M.M. Shulaker, T.F. Wu, M. Asheghi, J. Bokor, F. Franchetti, K.E. Goodson, C. Kozyrakis, I. Markov, K. Olukotun, L. Pileggi, E. Pop, J. Rabaey, C. Ré, H.-P. Wong, S. Mitra, Energy-efficient abundant-data computing: the N3XT 1,000x. Computer 48, 24–33 (2015). https://doi.org/10.1109/MC.2015.376

    Article  Google Scholar 

  4. S. Van Huylenbroeck, M. Stucchi, Y. Li, J. Slabbekoorn, N. Tutunjyan, S. Sardo, N. Jourdan, L. Bogaerts, F. Beirnaert, G. Beyer et al., Small pitch, high aspect ratio via-last TSV module, in 2016 IEEE 66th Electronic Components and Technology Conference (ECTC) (IEEE, 2016), pp. 43–49

    Google Scholar 

  5. S.-W. Kim, M. Detalle, L. Peng, P. Nolmans, N. Heylen, D. Velenis, A. Miller, G. Beyer, E. Beyne, Ultra-fine pitch 3D integration using face-to-face hybrid wafer bonding combined with a via-middle through-silicon-via process, in 2016 IEEE 66th Electronic Components and Technology Conference (ECTC) (IEEE, 2016), pp. 1179–1185

    Google Scholar 

  6. P. Batude, M. Vinet, B. Previtali, C. Tabone, C. Xu, J. Mazurier, O. Weber, F. Andrieu, L. Tosti, L. Brevard, B. Sklenard, P. Coudrain, S. Bobba, H. Ben Jamaa, P.-E. Gaillardon, A. Pouydebasque, O. Thomas, C. Le Royer, J.-M. Hartmann, L. Sanchez, L. Baud, V. Carron, L. Clavelier, G. De Micheli, S. Deleonibus, O. Faynot, T. Poiroux, Advances, challenges and opportunities in 3D CMOS sequential integration, in 2011 International Electron Devices Meeting (2011), pp. 7.3.1–7.3.4. https://doi.org/10.1109/IEDM.2011.6131506

  7. M.M. Shulaker, G. Hills, R.S. Park, R.T. Howe, K. Saraswat, H.-S.P. Wong, S. Mitra, Three-dimensional integration of nanotechnologies for computing and data storage on a single chip. Nature 547, 74–78 (2017). https://doi.org/10.1038/nature22994

    Article  ADS  Google Scholar 

  8. T. Naito, T. Ishida, T. Onoduka, M. Nishigoori, T. Nakayama, Y. Ueno, Y. Ishimoto, A. Suzuki, W. Chung, R. Madurawe, S. Wu, S. Ikeda, H. Oyamatsu, World’s first monolithic 3D-FPGA with TFT SRAM over 90 nm 9 layer Cu CMOS, in 2010 Symposium on VLSI Technology (2010), pp. 219–220. https://doi.org/10.1109/VLSIT.2010.5556234

  9. L. Brunet, P. Batude, C. Fenouillet-Beranger, P. Besombes, L. Hortemel, F. Ponthenier, B. Previtali, C. Tabone, A. Royer, C. Agraffeil, C. Euvrard-Colnat, A. Seignard, C. Morales, F. Fournel, L. Benaissa, T. Signamarcheix, P. Besson, M. Jourdan, R. Kachtouli, V. Benevent, J.-M. Hartmann, C. Comboroure, N. Allouti, N. Posseme, C. Vizioz, C. Arvet, S. Barnola, S. Kerdiles, L. Baud, L. Pasini, C.-M.V. Lu, F. Deprat, A. Toffoli, G. Romano, C. Guedj, V. Delaye, F. Boeuf, O. Faynot, M. Vinet, First demonstration of a CMOS over CMOS 3D VLSI CoolCube™ integration on 300 mm wafers, in 2016 IEEE Symposium on VLSI Technology (2016), pp. 1–2. https://doi.org/10.1109/VLSIT.2016.7573428

  10. T.F. Wu, B.Q. Le, R. Radway, A. Bartolo, W. Hwang, S. Jeong, H. Li, P. Tandon, E. Vianello, P. Vivet, E. Nowak, M.K. Wootters, H.-P. Wong, M.M.S. Aly, E. Beigne, S. Mitra, 14.3 A 43pJ/cycle non-volatile microcontroller with 4.7 μs shutdown/wake-up integrating 2.3-bit/cell resistive RAM and resilience techniques, in 2019 IEEE International Solid-State Circuits Conference (ISSCC) (2019), pp. 226–228. https://doi.org/10.1109/ISSCC.2019.8662402

  11. H.-S.P. Wong, S. Salahuddin, Memory leads the way to better computing. Nat. Nanotechnol. 10, 191 (2015)

    Article  ADS  Google Scholar 

  12. H. Wong, C. Ahn, J. Cao, H. Chen, S. Fong, Z. Jiang, C. Neumann, S. Qin, J. Sohn, Y. Wu, X. Zheng, Stanford memory trends (2019). https://nano.stanford.edu/stanford-memory-trends/. Accessed 9 Sept 2019

  13. C.-C. Chou, Z.-J. Lin, P.-L. Tseng, C.-F. Li, C.-Y. Chang, W.-C. Chen, Y.-D. Chih, T.-Y.J. Chang, An N40 256Kx 44 embedded RRAM macro with SL-precharge SA and low-voltage current limiter to improve read and write performance, in 2018 IEEE International Solid-State Circuits Conference (ISSCC) (IEEE, 2018), pp. 478–480

    Google Scholar 

  14. P. Jain, U. Arslan, M. Sekhar, B.C. Lin, L. Wei, T. Sahu, J. Alzate-vinasco, A. Vangapaty, M. Meterelliyoz, N. Strutt et al., 13.2 A 3.6 Mb 10.1 Mb/mm2 embedded non-volatile ReRAM macro in 22 nm FinFET technology with adaptive forming/set/reset schemes yielding down to 0.5 V with sensing time of 5 ns at 0.7 V, in 2019 IEEE International Solid-State Circuits Conference (ISSCC) (IEEE, 2019), pp. 212–214

    Google Scholar 

  15. Y. Wu, H. Yi, Z. Zhang, Z. Jiang, J. Sohn, S. Wong, H.-S.P. Wong, First demonstration of RRAM patterned by block copolymer self-assembly, in 2013 IEEE International Electron Devices Meeting (IEEE, 2013), pp. 20–28

    Google Scholar 

  16. B. Govoreanu, G. Kar, Y. Chen, V. Paraschiv, S. Kubicek, A. Fantini, I. Radu, L. Goux, S. Clima, R. Degraeve et al., 10 × 10 nm2 Hf/HfOx crossbar resistive RAM with excellent performance, reliability and low-energy operation, in 2011 International Electron Devices Meeting (IEEE, 2011), pp. 31–36

    Google Scholar 

  17. K.-S. Li, C. Ho, M.-T. Lee, M.-C. Chen, C.-L. Hsu, J. Lu, C. Lin, C. Chen, B. Wu, Y. Hou et al., Utilizing sub-5 nm sidewall electrode technology for atomic-scale resistive memory fabrication, in 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers (IEEE, 2014), pp. 1–2

    Google Scholar 

  18. R. Fackenthal, M. Kitagawa, W. Otsuka, K. Prall, D. Mills, K. Tsutsui, J. Javanifard, K. Tedrow, T. Tsushima, Y. Shibahara et al., 19.7 A 16 Gb ReRAM with 200 MB/s write and 1 GB/s read in 27 nm technology, in 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (IEEE, 2014), pp. 338–339

    Google Scholar 

  19. T. Liu, T.H. Yan, R. Scheuerlein, Y. Chen, J.K. Lee, G. Balakrishnan, G. Yee, H. Zhang, A. Yap, J. Ouyang, T. Sasaki, S. Addepalli, A. Al-Shamma, C. Chen, M. Gupta, G. Hilton, S. Joshi, A. Kathuria, V. Lai, D. Masiwal, M. Matsumoto, A. Nigam, A. Pai, J. Pakhale, C.H. Siau, X. Wu, R. Yin, L. Peng, J.Y. Kang, S. Huynh, H. Wang, N. Nagel, Y. Tanaka, M. Higashitani, T. Minvielle, C. Gorla, T. Tsukamoto, T. Yamaguchi, M. Okajima, T. Okamura, S. Takase, T. Hara, H. Inoue, L. Fasoli, M. Mofidi, R. Shrivastava, K. Quader, A 130.7mm2 2-layer 32 Gb ReRAM memory device in 24 nm technology, in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers (2013), pp. 210–211. https://doi.org/10.1109/ISSCC.2013.6487703

  20. H.-Y. Chen, S. Yu, B. Gao, P. Huang, J. Kang, H.-S.P. Wong, HfOx based vertical resistive random access memory for cost-effective 3D cross-point architecture without cell selector, in 2012 International Electron Devices Meeting (IEEE, 2012), pp. 20–27

    Google Scholar 

  21. F.-K. Hsueh, C.-H. Shen, J.-M. Shieh, K.-S. Li, H.-C. Chen, W.-H. Huang, H.-H. Wang, C.-C. Yang, T.-Y. Hsieh, C.-H. Lin et al., First fully functionalized monolithic 3D + IoT chip with 0.5 V light-electricity power management, 6.8 GHz wireless-communication VCO, and 4-layer vertical ReRAM, in 2016 IEEE International Electron Devices Meeting (IEDM) (IEEE, 2016), pp. 2–3

    Google Scholar 

  22. H. Li, K.-S. Li, C.-H. Lin, J.-L. Hsu, W.-C. Chiu, M.-C. Chen, T.-T. Wu, J. Sohn, S.B. Eryilmaz, J.-M. Shieh et al., Four-layer 3D vertical RRAM integrated with FinFET as a versatile computing unit for brain-inspired cognitive information processing, in 2016 IEEE Symposium on VLSI Technology (IEEE, 2016), pp. 1–2

    Google Scholar 

  23. J.J. Nowak, R.P. Robertazzi, J.Z. Sun, G. Hu, J.-H. Park, J. Lee, A.J. Annunziata, G.P. Lauer, R. Kothandaraman, E.J. O’Sullivan, others, Dependence of voltage and size on write error rates in spin-transfer torque magnetic random-access memory. IEEE Magn. Lett. 7, 1–4 (2016)

    Article  Google Scholar 

  24. H. Noguchi, K. Ikegami, S. Takaya, E. Arima, K. Kushida, A. Kawasumi, H. Hara, K. Abe, N. Shimomura, J. Ito et al., 7.2 4 MB STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write/read-modify-write scheme, in 2016 IEEE International Solid-State Circuits Conference (ISSCC) (IEEE, 2016), pp. 132–133

    Google Scholar 

  25. L. Wei, J.G. Alzate, U. Arslan, J. Brockman, N. Das, K. Fischer, T. Ghani, O. Golonzka, P. Hentges, R. Jahan et al., 13.3 A 7 Mb STT-MRAM in 22FFL FinFET technology with 4 ns read sensing time at 0.9 V using write-verify-write scheme and offset-cancellation sensing technique, in 2019 IEEE International Solid-State Circuits Conference (ISSCC) (IEEE, 2019), pp. 214–216

    Google Scholar 

  26. C. Villa, D. Mills, G. Barkley, H. Giduturi, S. Schippers, D. Vimercati, A 45 nm 1 Gb 1.8 V phase-change memory, in 2010 IEEE International Solid-State Circuits Conference (ISSCC) (IEEE, 2010), pp. 270–271

    Google Scholar 

  27. Y. Choi, I. Song, M.-H. Park, H. Chung, S. Chang, B. Cho, J. Kim, Y. Oh, D. Kwon, J. Sunwoo et al., A 20 nm 1.8 V 8 Gb PRAM with 40 MB/s program bandwidth, in 2012 IEEE International Solid-State Circuits Conference (IEEE, 2012), pp. 46–48

    Google Scholar 

  28. S. Dünkel, M. Trentzsch, R. Richter, P. Moll, C. Fuchs, O. Gehring, M. Majer, S. Wittek, B. Müller, T. Melde, H. Mulaosmanovic, S. Slesazeck, S. Müller, J. Ocker, M. Noack, D. Löhr, P. Polakowski, J. Müller, T. Mikolajick, J. Höntschel, B. Rice, J. Pellerin, S. Beyer, A FeFET based super-low-power ultra-fast embedded NVM technology for 22 nm FDSOI and beyond, in 2017 IEEE International Electron Devices Meeting (IEDM) (2017), pp. 19.7.1–19.7.4. https://doi.org/10.1109/IEDM.2017.8268425

  29. Y.-B. Kim, S.R. Lee, D. Lee, C.B. Lee, M. Chang, J.H. Hur, M.-J. Lee, G.-S. Park, C.J. Kim, U.-I. Chung et al., Bi-layered RRAM with unlimited endurance and extremely uniform switching, in 2011 Symposium on VLSI Technology-Digest of Technical Papers (IEEE, 2011), pp. 52–53

    Google Scholar 

  30. C.-W. Hsu, I.-T. Wang, C.-L. Lo, M.-C. Chiang, W.-Y. Jang, C.-H. Lin, T.-H. Hou, Self-rectifying bipolar TaOx/TiO2 RRAM with superior endurance over 1012 cycles for 3D high-density storage-class memory, in 2013 Symposium on VLSI Technology (IEEE, 2013), pp. T166–T167

    Google Scholar 

  31. A. Grossi, E. Vianello, M.M. Sabry, M. Barlas, L. Grenouillet, J. Coignus, E. Beigne, T. Wu, B.Q. Le, M.K. Wootters, C. Zambelli, E. Nowak, S. Mitra, Resistive RAM endurance: array-level characterization and correction techniques targeting deep learning applications. IEEE Trans. Electron Device 66, 1281–1288 (2019). https://doi.org/10.1109/TED.2019.2894387

    Article  ADS  Google Scholar 

  32. J. Park, S. Kim, J. Baek, D. Seo, J. Chun, K. Kwon, Analysis of resistance variations and variance-aware read circuit for cross-point ReRAM, in 2013 5th IEEE International Memory Workshop (2013), pp. 112–115. https://doi.org/10.1109/IMW.2013.6582111

  33. M. Chang, S. Sheu, K. Lin, C. Wu, C. Kuo, P. Chiu, Y. Yang, Y. Chen, H. Lee, C. Lien, F.T. Chen, K. Su, T. Ku, M. Kao, M. Tsai, A high-speed 7.2-ns read-write random access 4-Mb embedded resistive RAM (ReRAM) macro using process-variation-tolerant current-mode read schemes. IEEE J. Solid-State Circuits 48, 878–891 (2013). https://doi.org/10.1109/JSSC.2012.2230515

  34. S. Sheu, K. Cheng, M. Chang, P. Chiang, W. Lin, H. Lee, P. Chen, Y. Chen, T. Wu, F.T. Chen, K. Su, M. Kao, M. Tsai, Fast-write resistive RAM (RRAM) for embedded applications. IEEE Des. Test Comput. 28, 64–71 (2011). https://doi.org/10.1109/MDT.2010.96

    Article  Google Scholar 

  35. A. Chen, M. Lin, Variability of resistive switching memories and its impact on crossbar array performance, in 2011 International Reliability Physics Symposium (2011), pp. MY.7.1–MY.7.4. https://doi.org/10.1109/IRPS.2011.5784590

  36. S. Hamdioui, P. Pouyan, H. Li, Y. Wang, A. Raychowdhur, I. Yoon, Test and reliability of emerging non-volatile memories, in 2017 IEEE 26th Asian Test Symposium (ATS) (2017), pp. 175–183. https://doi.org/10.1109/ATS.2017.42

  37. P. Pouyan, E. Amat, S. Hamdioui, A. Rubio, RRAM variability and its mitigation schemes, in 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS) (2016), pp. 141–146. https://doi.org/10.1109/PATMOS.2016.7833679

  38. A. Fantini, L. Goux, R. Degraeve, D.J. Wouters, N. Raghavan, G. Kar, A. Belmonte, Y. Chen, B. Govoreanu, M. Jurczak, Intrinsic switching variability in HfO2 RRAM, in 2013 5th IEEE International Memory Workshop (2013), pp. 30–33. https://doi.org/10.1109/IMW.2013.6582090

  39. A. Grossi, E. Nowak, C. Zambelli, C. Pellissier, S. Bernasconi, G. Cibrario, K.E. Hajjam, R. Crochemore, J.F. Nodin, P. Olivo, L. Perniola, Fundamental variability limits of filament-based RRAM, in 2016 IEEE International Electron Devices Meeting (IEDM) (2016), pp. 4.7.1–4.7.4. https://doi.org/10.1109/IEDM.2016.7838348

  40. W. Chien, M. Lee, F.-M. Lee, Y. Lin, H.-L. Lung, K. Hsieh, C.-Y. Lu, Multi-level 40 nm WOX resistive memory with excellent reliability, in 2011 International Electron Devices Meeting (2011), pp. 31.5.1–31.5.4. https://doi.org/10.1109/IEDM.2011.6131651

  41. A. Prakash, J. Park, J. Song, J. Woo, E. Cha, H. Hwang, Demonstration of low power 3-bit multilevel cell characteristics in a TaOx-based RRAM by stack engineering. IEEE Electron Device Lett. 36, 32–34 (2015). https://doi.org/10.1109/LED.2014.2375200

    Article  ADS  Google Scholar 

  42. S. Stathopoulos, A. Khiat, M. Trapatseli, S. Cortese, A. Serb, I. Valov, T. Prodromakis, Multibit memory operation of metal-oxide bi-layer memristors. Sci. Rep. 7, 1–7 (2017). https://doi.org/10.1038/s41598-017-17785-1

    Article  Google Scholar 

  43. S. Sheu, P. Chiang, W. Lin, H. Lee, P. Chen, Y. Chen, T. Wu, F.T. Chen, K. Su, M. Kao, K. Cheng, M. Tsai, A 5 ns fast write multi-level non-volatile 1 K bits RRAM memory with advance write scheme, in 2009 Symposium on VLSI Circuits (2009), pp. 82–83

    Google Scholar 

  44. B.Q. Le, A. Grossi, E. Vianello, T. Wu, G. Lama, E. Beigne, H.-S.P. Wong, S. Mitra, Resistive RAM with multiple bits per cell: array-level demonstration of 3 bits per cell. IEEE Trans. Electron Device 66, 641–646 (2018)

    Article  ADS  Google Scholar 

  45. E.R. Hsieh et al., High-density multiple bits-per-cell 1T4R RRAM array with gradual SET/RESET and its effectiveness for deep learning, in IEEE International Electron Devices Meeting (IEDM) (2019)

    Google Scholar 

  46. D. Ielmini, H.-S.P. Wong, In-memory computing with resistive switching devices. Nat. Electron. 1, 333–343 (2018). https://doi.org/10.1038/s41928-018-0092-2

    Article  Google Scholar 

  47. M. Shulaker, H.-P. Wong, S. Mitra, Computing with carbon nanotubes. IEEE Spectr. 53, 26–52 (2016). https://doi.org/10.1109/MSPEC.2016.7498155

    Article  Google Scholar 

  48. G. Hills, M.G. Bardon, G. Doornbos, D. Yakimets, P. Schuddinck, R. Baert, D. Jang, L. Mattii, S.M.Y. Sherazi, D. Rodopoulos, R. Ritzenthaler, C. Lee, A.V. Thean, I. Radu, A. Spessot, P. Debacker, F. Catthoor, P. Raghavan, M.M. Shulaker, H.-P. Wong, S. Mitra, Understanding energy efficiency benefits of carbon nanotube field-effect transistors for digital VLSI. IEEE Trans. Nanotechnol. 17, 1259–1269 (2018). https://doi.org/10.1109/TNANO.2018.2871841

    Article  ADS  Google Scholar 

  49. N. Patil, A. Lin, E.R. Myers, K. Ryu, A. Badmaev, C. Zhou, H.-P. Wong, S. Mitra, Wafer-scale growth and transfer of aligned single-walled carbon nanotubes. IEEE Trans. Nanotechnol. 8, 498–504 (2009). https://doi.org/10.1109/TNANO.2009.2016562

    Article  ADS  Google Scholar 

  50. X. Zhou, J.-Y. Park, S. Huang, J. Liu, P.L. McEuen, Band structure, phonon scattering, and the performance limit of single-walled carbon nanotube transistors. Phys. Rev. Lett. 95, 146805 (2005). https://doi.org/10.1103/PhysRevLett.95.146805

    Article  ADS  Google Scholar 

  51. C. Lee, E. Pop, A.D. Franklin, W. Haensch, H.-P. Wong, A compact virtual-source model for carbon nanotube FETs in the sub-10-nm regime—Part I: Intrinsic elements. IEEE Trans. Electron Device 62, 3061–3069 (2015). https://doi.org/10.1109/TED.2015.2457453

  52. C. Qiu, Z. Zhang, M. Xiao, Y. Yang, D. Zhong, L.-M. Peng, Scaling carbon nanotube complementary transistors to 5-nm gate lengths. Science 355, 271–276 (2017). https://doi.org/10.1126/science.aaj1628

    Article  ADS  Google Scholar 

  53. A.D. Franklin, M. Luisier, S.-J. Han, G. Tulevski, C.M. Breslin, L. Gignac, M.S. Lundstrom, W. Haensch, Sub-10 nm carbon nanotube transistor. Nano Lett. 12, 758–762 (2012). https://doi.org/10.1021/nl203701g

    Article  ADS  Google Scholar 

  54. N. Patil, A. Lin, J. Zhang, H.-P. Wong, S. Mitra, Digital VLSI logic technology using carbon nanotube FETs: frequently asked questions, in 2009 46th ACM/IEEE Design Automation Conference (2009), pp. 304–309. https://doi.org/10.1145/1629911.1629995

  55. N. Patil, J. Deng, A. Lin, H.-P. Wong, S. Mitra, Design methods for misaligned and mispositioned carbon-nanotube immune circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27, 1725–1736 (2008). https://doi.org/10.1109/TCAD.2008.2003278

    Article  Google Scholar 

  56. M.M. Shulaker, G. Pitner, G. Hills, M. Giachino, H.-P. Wong, S. Mitra, High-performance carbon nanotube field-effect transistors, in 2014 IEEE International Electron Devices Meeting (2014), pp. 33.6.1–33.6.4. https://doi.org/10.1109/IEDM.2014.7047164

  57. M.S. Dresselhaus, R.E. Smalley, G. Dresselhaus, P. Avouris, Carbon Nanotubes: Synthesis, Structure, Properties, and Applications (Springer, Berlin, Heidelberg, 2001). https://books.google.com/books?id=dkvDhZJnafgC

  58. N. Patil, A. Lin, J. Zhang, H. Wei, K. Anderson, H.-P. Wong, S. Mitra, VMR: VLSI-compatible metallic carbon nanotube removal for imperfection-immune cascaded multi-stage digital logic circuits using carbon nanotube FETs, in 2009 IEEE International Electron Devices Meeting (IEDM) (2009), pp. 1–4. https://doi.org/10.1109/IEDM.2009.5424295

  59. M.M. Shulaker, G. Hills, T.F. Wu, Z. Bao, H.-P. Wong, S. Mitra, Efficient metallic carbon nanotube removal for highly-scaled technologies, in 2015 IEEE International Electron Devices Meeting (IEDM) (2015), pp. 32.4.1–32.4.4. https://doi.org/10.1109/IEDM.2015.7409815

  60. G. Hills, C. Lau, A. Wright, S. Fuller, M.D. Bishop, T. Srimani, P. Kanhaiya, R. Ho, A. Amer, Y. Stein, D. Murphy, Arvind, A. Chandrakasan, M.M. Shulaker, Modern microprocessor built from complementary carbon nanotube transistors. Nature 572, 595–602 (2019). https://doi.org/10.1038/s41586-019-1493-8

  61. T. Lei, X. Chen, G. Pitner, H.-S.P. Wong, Z. Bao, Removable and recyclable conjugated polymers for highly selective and high-yield dispersion and release of low-cost carbon nanotubes. J. Am. Chem. Soc. 138 (2016). https://doi.org/10.1021/jacs.5b12797

  62. G. Tulevski, A. Franklin, A. Afzali, High purity isolation and quantification of semiconducting carbon nanotubes via column chromatography. ACS Nano 7 (2013). https://doi.org/10.1021/nn400053k

  63. Q. Cao, J. Tersoff, D. Farmer, Y. Zhu, S.-J. Han, Carbon nanotube transistors scaled to a 40-nanometer footprint. Science 356, 1369–1372 (2017). https://doi.org/10.1126/science.aan2476

    Article  ADS  Google Scholar 

  64. R.S. Park, G. Hills, J. Sohn, S. Mitra, M.M. Shulaker, H.-S.P. Wong, Hysteresis-free carbon nanotube field-effect transistors. ACS Nano 11, 4785–4791 (2017). https://doi.org/10.1021/acsnano.7b01164

    Article  Google Scholar 

  65. L. Suriyasena Liyanage, X. Xu, G. Pitner, Z. Bao, H.-S. Philip Wong, VLSI-compatible carbon nanotube doping technique with low work-function metal oxides. Nano Lett. 14 (2014). https://doi.org/10.1021/nl404654j

  66. C. Lau, T. Srimani, M.D. Bishop, G. Hills, M.M. Shulaker, Tunable n-type doping of carbon nanotubes through engineered atomic layer deposition HfOX films. ACS Nano 12 (2018). https://doi.org/10.1021/acsnano.8b04208

  67. D. Shahrjerdi, A. Franklin, S. Oida, J. Ott, G. Tulevski, W. Haensch, High-performance air-stable n-type carbon nanotube transistors with erbium contacts. ACS Nano 7 (2013). https://doi.org/10.1021/nn403935v

  68. J. Tang, D. Farmer, S. Bangsaruntip, K.-C. Chiu, B. Kumar, S.-J. Han, Contact engineering and channel doping for robust carbon nanotube NFETs, in 2017 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA) (2017), pp. 1–2. https://doi.org/10.1109/VLSI-TSA.2017.7942478

  69. A. Franklin, Z. Chen, Length scaling of carbon nanotube transistors. Nat. Nanotechnol. 5, 858–862 (2010). https://doi.org/10.1038/nnano.2010.220

    Article  ADS  Google Scholar 

  70. A.D. Franklin, W. Haensch, Defining and overcoming the contact resistance challenge in scaled carbon nanotube transistors, in 72nd Device Research Conference (2014), pp. 191–192. https://doi.org/10.1109/DRC.2014.6872362

  71. G. Pitner, G. Hills, J. Pablo Llinas, K.-M. Persson, R.S. Park, J. Bokor, S. Mitra, H.-S.P. Wong, Low-temperature side-contact to carbon nanotube transistors: resistance distributions down to 10 nm contact length. Nano Lett. 19 (2019). https://doi.org/10.1021/acs.nanolett.8b04370

  72. A. Franklin, G. Tulevski, S.-J. Han, D. Shahrjerdi, Q. Cao, H.-Y. Chen, H.-S. Philip Wong, W. Haensch, Variability in carbon nanotube transistors: improving device-to-device consistency. ACS Nano 6, 1109–1115 (2012). https://doi.org/10.1021/nn203516z

  73. Q. Cao, S.-J. Han, A. Penumatcha, M. Frank, G. Tulevski, J. Tersoff, W.E. Haensch, The origins and characteristics of the threshold voltage variability of quasi-ballistic single-walled carbon nanotube field-effect transistors. ACS Nano 9 (2015). https://doi.org/10.1021/nn506839p

  74. P.S. Kanhaiya, C. Lau, G. Hills, M. Bishop, M.M. Shulaker, 1 Kbit 6T SRAM arrays in carbon nanotube FET CMOS, in 2019 Symposium on VLSI Technology (2019), pp. T54–T55. https://doi.org/10.23919/VLSIT.2019.8776563

  75. A.G. Amer, R. Ho, G. Hills, A.P. Chandrakasan, M.M. Shulaker, 29.8 SHARC: self-healing analog with RRAM and CNFETs, in 2019 IEEE International Solid-State Circuits Conference (ISSCC) (2019), pp. 470–472. https://doi.org/10.1109/ISSCC.2019.8662377

  76. T.F. Wu, H. Li, P. Huang, A. Rahimi, J.M. Rabaey, H.-P. Wong, M.M. Shulaker, S. Mitra, Brain-inspired computing exploiting carbon nanotube FETs and resistive RAM: hyperdimensional computing case study, in 2018 IEEE International Solid-State Circuits Conference (ISSCC) (2018), pp. 492–494. https://doi.org/10.1109/ISSCC.2018.8310399

  77. M.S. Bakir, C. King, D. Sekar, H. Thacker, B. Dang, G. Huang, A. Naeemi, J.D. Meindl, 3D heterogeneous integrated systems: liquid cooling, power delivery, and implementation, in 2008 IEEE Custom Integrated Circuits Conference (2008), pp. 663–670. https://doi.org/10.1109/CICC.2008.4672173

  78. P. Shukla, A.K. Coskun, V.F. Pavlidis, E. Salman, An overview of thermal challenges and opportunities for monolithic 3D ICs, in Proceedings of the 2019 on Great Lakes Symposium on VLSI (ACM, New York, NY, USA, 2019), pp. 439–444. https://doi.org/10.1145/3299874.3319485

  79. Y.S. Ju, K.E. Goodson, Phonon scattering in silicon films with thickness of order 100 nm. Appl. Phys. Lett. 74, 3005–3007 (1999). https://doi.org/10.1063/1.123994

    Article  ADS  Google Scholar 

  80. T.-Y. Chiang, S.J. Souri, C.O. Chui, K.C. Saraswat, Thermal analysis of heterogeneous 3D ICs with various integration scenarios, in International Electron Devices Meeting. Technical Digest (Cat. No. 01CH37224) (2001), pp. 31.2.1–31.2.4. https://doi.org/10.1109/IEDM.2001.979599

  81. W. Park, A. Sood, J. Park, M. Asheghi, R. Sinclair, K.E. Goodson, Enhanced thermal conduction through nanostructured interfaces. Nanoscale Microscale Thermophys. Eng. 21, 134–144 (2017). https://doi.org/10.1080/15567265.2017.1296910

    Article  ADS  Google Scholar 

  82. H. Wei, T.F. Wu, D. Sekar, B. Cronquist, R.F. Pease, S. Mitra, Cooling three-dimensional integrated circuits using power delivery networks, in 2012 International Electron Devices Meeting (2012), pp. 14.2.1–14.2.4. https://doi.org/10.1109/IEDM.2012.6479040

  83. T.-Y. Chiang, K. Banerjee, K.C. Saraswat, Effect of via separation and low-k dielectric materials on the thermal characteristics of Cu interconnects, in International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No. 00CH37138) (2000), pp. 261–264. https://doi.org/10.1109/IEDM.2000.904306

  84. K. Banerjee, A. Amerasekera, G. Dixit, C. Hu, The effect of interconnect scaling and low-k dielectric on the thermal characteristics of the IC metal, in International Electron Devices Meeting. Technical Digest (1996), pp. 65–68. https://doi.org/10.1109/IEDM.1996.553123

  85. M. Baklanov, M. Green, K. Maex, Dielectric Films for Advanced Microelectronics (Wiley, New York, 2007). https://doi.org/10.1002/9780470017944

    Book  Google Scholar 

  86. S. Subrina, D. Kotchetkov, A.A. Balandin, Heat removal in silicon-on-insulator integrated circuits with graphene lateral heat spreaders. IEEE Electron Device Lett. 30, 1281–1283 (2009). https://doi.org/10.1109/LED.2009.2034116

    Article  ADS  Google Scholar 

  87. M. Fuensanta, U. Paiphansiri, M.D. Romero-Sánchez, C. Guillem, Á.M. López-Buendía, K. Landfester, Thermal properties of a novel nanoencapsulated phase change material for thermal energy storage. Thermochim. Acta 565, 95–101 (2013). https://doi.org/10.1016/j.tca.2013.04.028

    Article  Google Scholar 

  88. Z. Cao, L. Yobas, Gel-free electrophoresis of DNA and proteins on chips featuring a 70 nm capillary-well motif. ACS Nano 9, 427–435 (2015). https://doi.org/10.1021/nn505605e

    Article  Google Scholar 

  89. C. Santos, P. Vivet, G. Matter, N. Peltier, S. Kaiser, R. Reis, Thermal modeling methodology for efficient system-level thermal analysis, in Proceedings of the IEEE 2014 Custom Integrated Circuits Conference (2014), pp. 1–4. https://doi.org/10.1109/CICC.2014.6946045

  90. S.K. Samal, S. Panth, K. Samadi, M. Saedi, Y. Du, S.K. Lim, Fast and accurate thermal modeling and optimization for monolithic 3D ICs, in 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC) (2014), pp. 1–6. https://doi.org/10.1145/2593069.2593140

  91. T. Kemper, Y. Zhang, Z. Bian, A. Shakouri, Ultrafast temperature profile calculation in IC chips. ArXiv:0709.1850 [Cond-Mat] (2007). http://arxiv.org/abs/0709.1850. Accessed 29 Jul 2019

  92. J. Meng, K. Kawakami, A.K. Coskun, Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints, in DAC Design Automation Conference 2012 (2012), pp. 648–655

    Google Scholar 

  93. A. Sridhar, A. Vincenzi, M. Ruggiero, T. Brunschwiler, D. Atienza, 3D-ICE: fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling, in 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (2010), pp. 463–470. https://doi.org/10.1109/ICCAD.2010.5653749

  94. H. Kasture, X. Ji, N. El-Sayed, N. Beckmann, X. Ma, D. Sanchez, POSTER: improving datacenter efficiency through partitioning-aware scheduling, in 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT) (2017), pp. 134–135. https://doi.org/10.1109/PACT.2017.43

  95. G. Hills, D. Bankman, B. Moons, L. Yang, J. Hillard, A. Kahng, R. Park, M. Verhelst, B. Murmann, M.M. Shulaker, H.-S.P. Wong, S. Mitra, TRIG: hardware accelerator for inference-based applications and experimental demonstration using carbon nanotube FETs, in 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC) (2018), pp. 1–10. https://doi.org/10.1109/DAC.2018.8465852

  96. Y. Li, E. Cheng, S. Makar, S. Mitra, Self-repair of uncore components in robust system-on-chips: an OpenSPARC T2 case study, in 2013 IEEE International Test Conference (ITC) (2013), pp. 1–10. https://doi.org/10.1109/TEST.2013.6651907

  97. H. Cho, L. Leem, S. Mitra, ERSA: error resilient system architecture for probabilistic applications. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31, 546–558 (2012). https://doi.org/10.1109/TCAD.2011.2179038

    Article  Google Scholar 

  98. First 3D nanotube and RRAM ICs come out of foundry. IEEE Spectrum: Technology, Engineering, and Science News (n.d.). https://spectrum.ieee.org/nanoclast/semiconductors/devices/first-3d-nanotube-and-rram-ics-come-out-of-foundry. Accessed 28 Oct 2019

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Subhasish Mitra .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Rich, D. et al. (2020). Heterogeneous 3D Nano-systems: The N3XT Approach?. In: Murmann, B., Hoefflinger, B. (eds) NANO-CHIPS 2030. The Frontiers Collection. Springer, Cham. https://doi.org/10.1007/978-3-030-18338-7_9

Download citation

Publish with us

Policies and ethics