Skip to main content

The Future of Ultra-Low Power SOTB CMOS Technology and Applications

  • Chapter
  • First Online:
  • 2755 Accesses

Part of the book series: The Frontiers Collection ((FRONTCOLL))

Abstract

Ultra-low power technology has drawn much attention recently as the number of connecting (Internet-of-Things) devices rapidly increases. The silicon-on-thin-buried oxide (SOTB) technology is a CMOS device technology that uses fully depleted silicon-on-insulator (FDSOI) transistors with a thin buried oxide layer enabling enhanced back-bias controllability and that can be monolithically integrated with the conventional bulk CMOS circuits. It can significantly reduce both the operation and the standby powers by taking advantage of low-voltage operation and back-biasing, respectively. In this chapter, advantages of the SOTB technology in terms of ultra-low power, circuits design and chip implementation examples including ultra-low power micro-controllers operating with harvested power, reconfigurable logic circuits, analog circuits, are reviewed, and a future perspective is shown.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   109.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   149.00
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   139.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Notes

  1. 1.

    The term “Internet of Things” was firstly used by Kevin Ashton in 1999 [1], while the term has become widely known in the early 2010s.

  2. 2.

    In the general system with a series regulator connected to a power source, the power due to the difference between supply and operating voltages is consumed in the series regulator, and thus the total power consumption is proportional to the current consumption. On the other hand, in the system with a power management using a dc-dc converter, the battery life is determined by the average power consumption of the system.

  3. 3.

    γ is defined as ∂Vth/∂Vbb. at around Vbb = 0.

  4. 4.

    In quantum computing, the key index of progress is not the size, voltage, nor clock frequency. The quantum decoherence can be the alternative [92].

References

  1. www.rfidjournal.com/article/view/4986

  2. https://www.statista.com/statistics/471264/iot-number-of-connected-devices-worldwide/

  3. https://iot-analytics.com/state-of-the-iot-update-q1-q2-2018-number-of-iot-devices-now-7b/

  4. https://www.ericsson.com/en/mobility-report/internet-of-things-forecast

  5. E.A. Lee, Cyber physical systems: design challenges, Technical Report No. UCB/EECS-2008-8, University of California, Berkeley, 23 Jan 2008. http://www2.eecs.berkeley.edu/Pubs/TechRpts/2008/EECS-2008-8.pdf

  6. H. Sutter, The free lunch is over. http://www.gotw.ca/publications/concurrency-ddj.htm (Initial version: http://www.drdobbs.com/web-development/a-fundamental-turn-toward-concurrency-in/184405990?queryText=Free%2BLunch)

  7. https://www.top500.org/green500/

  8. Y. Lee, D. Blaauw, D. Sylvester, Ultralow power circuit design for wireless sensor nodes for structural health monitoring. Proc. IEEE 104(8), 1529–1546 (2016). https://doi.org/10.1109/JPROC.2016.2547946

    Article  Google Scholar 

  9. A. Wang, A. Chandrakasan, A 180-mV subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuits 40(1), 310–319 (2005). https://doi.org/10.1109/JSSC.2004.837945

    Article  ADS  Google Scholar 

  10. A.P. Chandrakasan et al., Technologies for ultradynamic voltage scaling. Proc. IEEE 98(2), 191–214 (2010). https://doi.org/10.1109/JPROC.2009.2033621

    Article  Google Scholar 

  11. D. Bol, D. Kamel, D. Flandre, J.-D. Legat, Nanometer MOSFET effects on the minimum-energy point of 45 nm subthreshold logic, in ISLPED’09, San Francisco, California, USA, 19–21 Aug 2009. https://doi.org/10.1145/1594233.1594237

  12. R. Aitken, V. Chandra, J. Myers, B. Sandhu, L. Shifren, G. Yeric, Device and technology implications of the Internet of Things, in 2014 Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, Honolulu, HI (2014), pp. 1–4. https://doi.org/10.1109/VLSIT.2014.6894339

  13. M.J.M. Pelgrom, A.C.J. Duinmaijer, A.P.G. Welbers, Matching properties of MOS transistors. IEEE J. Solid-State Circuits 24(5), 1433–1439 (1989). https://doi.org/10.1109/JSSC.1989.572629

    Article  ADS  Google Scholar 

  14. R.H. Dennard, F.H. Gaensslen, V.L. Rideout, E. Bassous, A.R. LeBlanc, Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J. Solid-State Circuits 9(5), 256–268 (1974). https://doi.org/10.1109/JSSC.1974.1050511

    Article  ADS  Google Scholar 

  15. N. Sugii, R. Tsuchiya, T. Ishigaki, Y. Morita, H. Yoshimoto, S. Kimura, Local Vth variability and scalability in silicon-on-thin-BOX (SOTB) CMOS with small random-dopant fluctuation. IEEE Trans. Electron Devices 57(4), 835–845 (2010). https://doi.org/10.1109/TED.2010.2040664

    Article  ADS  Google Scholar 

  16. M. Aoki et al., 0.1 mu m CMOS devices using low-impurity-channel transistors (LICT), in International Technical Digest on Electron Devices, San Francisco, CA, USA (1990), pp. 939–941. https://doi.org/10.1109/iedm.1990.237087

  17. M. Fukuma, Limitations on MOS ULSIs, in Symposium on VLSI Technology, May 1988, pp. 7, 8

    Google Scholar 

  18. D. Hisamoto, T. Kaga, Y. Kawamoto, E. Takeda, A fully depleted lean-channel transistor (DELTA)-a novel vertical ultra thin SOI MOSFET, in International Technical Digest on Electron Devices Meeting, Washington, DC, USA (1989), pp. 833–836. https://doi.org/10.1109/iedm.1989.74182

  19. R. Tsuchiya, M. Horiuchi, S. Kimura, M. Yamaoka, T. Kawahara, S. Maegawa, T. Ipposhi, Y. Ohji, H. Matsuoka, in Electron Devices Meeting, 2004. IEDM Technical Digest. IEEE International, 13–15 Dec 2004, pp. 631, 634. https://doi.org/10.1109/IEDM.2004.1419245

  20. Y. Yamamoto, H. Makiyama, T. Tsunomura, T. Iwamatsu, H. Oda, N. Sugii, Y. Yamaguchi, T. Mizutani, T. Hiramoto, Poly/high-k/SiON gate stack and novel profile engineering dedicated for ultralow-voltage silicon-on-thin-BOX (SOTB) CMOS operation, in 2012 Symposium on VLSI Technology (VLSIT), pp. 109, 110, 12–14 June 2012. https://doi.org/10.1109/vlsit.2012.6242485

  21. O. Weber et al., Work-function engineering in gate first technology for multi-VT dual-gate FDSOI CMOS on UTBOX, in 2010 International Electron Devices Meeting, San Francisco, CA (2010), pp. 3.4.1–3.4.4. https://doi.org/10.1109/iedm.2010.5703289

  22. Y. Yamamoto, H. Makiyama, H. Shinohara, T. Iwamatsu, H. Oda, S. Kamohara, N. Sugii, Y. Yamaguchi, T. Mizutani, T. Hiramoto, Ultralow-voltage operation of silicon-on-thin-BOX (SOTB) 2Mbit SRAM down to 0.37 V utilizing adaptive back bias, in 2013 Symposium on VLSI Technology, Kyoto (2013), pp. T212–T213. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=6576627&isnumber=6576594. See also: T. Masuhara, The future of low-power electronics, Chap. 2, in CHIPS 2020, vol. 2 (Springer International Publishing, 2016)

  23. T. Hasegawa, Y. Yamamoto, H. Makiyama, H. Shinkawata, S. Kamohara, Y. Yamaguchi, SOTB (Silicon on Thin Buried Oxide): more than Moore technology for IoT and automotive, 2017 IEEE International Conference on IC Design and Technology (ICICDT), Austin, TX (2017), pp. 1–4. https://doi.org/10.1109/icicdt.2017.7993512

  24. Y. Ogasahara, T. Sekigawa, M. Hioki, T. Nakagawa, T. Tsutsumi, H. Koike, Reduction of overhead in adaptive body bias technology due to triple-well structure based on measurement and simulation, in Proceedings of the 2015 International Conference on Microelectronic Test Structures, Tempe, AZ (2015), pp. 207–211. https://doi.org/10.1109/icmts.2015.7106154

  25. M. Miura-Mattausch, U. Feldmann, Y. Fukunaga, M. Miyake, H. Kikuchihara, F. Ueno, H.J. Mattausch, T. Nakagawa, N. Sugii, Compact modeling of SOI MOSFETs with ultrathin silicon and BOX layers. IEEE Trans. Electron Devices 61(2), 255–265 (2014). https://doi.org/10.1109/TED.2013.2286206

    Article  ADS  Google Scholar 

  26. S. Khandelwal, Y. Singh Chauhan, D.D. Lu, S. Venugopalan, M.A.U. Karim, A.B. Sachid, B.-Y. Nguyen, O. Rozeau, O. Faynot, A.M. Niknejad, C.C. Hu, BSIM-IMG: a compact model for ultrathin-body SOI MOSFETs with back-gate control. IEEE Trans. Electron Devices 59(8), 2019–2026 (2012). https://doi.org/10.1109/ted.2012.2198065

  27. H. Okuhara, A. Ben Ahmed, J.M. Kühn, H. Amano, Asymmetric body bias control with low-power FD-SOI technologies: modeling and power optimization. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 26(7), 1254–1267 (2018). https://doi.org/10.1109/tvlsi.2018.2812893

  28. H. Okuhara, A.B. Ahmed, H. Amano, Digitally assisted on-chip body bias tuning scheme for ultra low-power VLSI systems. IEEE Trans. Circuits Syst. I Regul. Pap. 65(10), 3241–3254 (2018). https://doi.org/10.1109/TCSI.2018.2811504

    Article  Google Scholar 

  29. A.K.M.M. Islam, R. Shimizu, H. Onodera, Effect of logic depth and switching speed on random telegraph noise induced delay fluctuation, in 2019 IEEE 32nd International Conference on Microelectronic Test Structures (ICMTS), Kita-Kyushu City, Fukuoka, Japan (2019), pp. 166–170. https://doi.org/10.1109/icmts.2019.8730976

  30. K. Usami, S. Kogure, Y. Yoshida, R. Magasaki, H. Amano, Level-shifter free approach for multi-Vdd SOTB employing adaptive Vt modulation for pMOSFET, in 2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA (2017), pp. 1–3. https://doi.org/10.1109/S3S.2017.8309226

  31. S. Nakamura, J. Kawasaki, Y. Kumagai, K. Usami, Measurement of the minimum energy point in Silicon on Thin-BOX(SOTB) and bulk MOSFET, in EUROSOI-ULIS 2015: 2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, Bologna (2015), pp. 193–196. https://doi.org/10.1109/ulis.2015.7063746

  32. http://www.vdec.u-tokyo.ac.jp/English/index.html; http://www.vdec.u-tokyo.ac.jp/English/VDECReport18E.pdf

  33. K. Ishibashi et al., A Perpetuum Mobile 32bit CPU with 13.4 pJ/cycle, 0.14 µA sleep current using Reverse Body Bias Assisted 65 nm SOTB CMOS technology, in 2014 IEEE COOL Chips XVII, Yokohama (2014), pp. 1–3. https://doi.org/10.1109/coolchips.2014.6842954. See also: T. Masuhara, The future of low-power electronics, Chap. 2, in CHIPS 2020, vol. 2 (Springer International Publishing, 2016)

  34. H. Nagatomi, N. Sugii, S. Kamohara, K. Ishibashi, A 361nA thermal run-away immune VBB generator using dynamic substrate controlled charge pump for ultra low sleep current logic on 65 nm SOTB, in 2014 SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Millbrae, CA (2014), pp. 1–2. https://doi.org/10.1109/S3S.2014.7028184

  35. S. Jain et al., A 280 mV-to-1.2 V wide-operating-range IA-32 processor in 32 nm CMOS, in 2012 IEEE International Solid-State Circuits Conference, San Francisco, CA (2012), pp. 66–68. https://doi.org/10.1109/ISSCC.2012.6176932

  36. G. Chen et al., Millimeter-scale nearly perpetual sensor system with stacked battery and solar cells, in 2010 IEEE International Solid-State Circuits Conference—(ISSCC), San Francisco, CA (2010), pp. 288–289. https://doi.org/10.1109/ISSCC.2010.5433921

  37. K. Matsubara et al., A 65 nm silicon-on-thin-Box (SOTB) embedded 2T-MONOS flash achieving 0.22 pJ/bit read energy with 64 MHz access for IoT applications, in 2019 Symposium on VLSI Circuits, Kyoto, Japan (2019), pp. C202–C203. https://doi.org/10.23919/VLSIC.2019.8778078

  38. T. Sakamoto et al., A silicon-on-thin-buried-oxide CMOS microcontroller with embedded atom-switch ROM. IEEE Micro 35(6), 13–23 (2015). https://doi.org/10.1109/mm.2015.142. See also T. Masuhara, The future of low-power electronics, Chap. 2, in CHIPS 2020, vol. 2 (Springer International Publishing, 2016)

  39. Y. Tsuji et al., Sub-μW standby power, <18 μW/DMIPS@25 MHz MCU with embedded atom-switch programmable logic and ROM, in 2015 Symposium on VLSI Circuits (VLSI Circuits), Kyoto (2015), pp. T86–T87. https://doi.org/10.1109/VLSIC.2015.7231363

  40. M. Zwerg et al., An 82 μA/MHz microcontroller with embedded FeRAM for energy-harvesting applications, in 2011 IEEE International Solid-State Circuits Conference, San Francisco, CA (2011), pp. 334–336. https://doi.org/10.1109/ISSCC.2011.5746342

  41. https://industrial.panasonic.com/content/data/SC/ds/ds4/MN101L05__E.pdf

  42. https://www.eenewseurope.com/design-center/ultra-low-power-microcontrollers-enabling-energy-harvesting-applications-0

  43. M. Hioki, H. Koike, Low overhead design of power reconfigurable FPGA with fine-grained body biasing on 65-nm SOTB CMOS technology. IEICE Trans. Inf. Syst. E99-D(12), 3082–3089 (2016). https://doi.org/10.1587/transinf.2016edp7129

    Article  ADS  Google Scholar 

  44. T. Katashita, M. Hioki, Y. Hori, H. Koike, Development of an evaluation platform and performance experimentation of flex power FPGA device. IEICE Trans. Inf. Syst. E101-D(2), 303–313 (2018). https://doi.org/10.1587/transinf.2017rcp0003

    Article  ADS  Google Scholar 

  45. K. Masuyama, Y. Fujita, H. Okuhara, H. Amano, 7MOPS/lemon-battery image processing demonstration with an ultra-low power reconfigurable accelerator CMA-SOTB-2, in 2015 25th International Conference on Field Programmable Logic and Applications (FPL), London (2015), p. 1. https://doi.org/10.1109/fpl.2015.7293964

  46. Y. Matsushita, H. Okuhara, K. Masuyama, Y. Fujita, R. Kawano, H. Amano, Body bias grain size exploration for a coarse grained reconfigurable accelerator, in 2016 26th International Conference on Field Programmable Logic and Applications (FPL), Lausanne (2016), pp. 1–4. https://doi.org/10.1109/fpl.2016.7577346

  47. D.-H. Le, N. Sugii, S. Kamohara, Hong-Thu Nguyen, K. Ishibashi, C.-K. Pham, A 400 mV 0.59 mW low-power CAM-based pattern matching system on 65 nm SOTB process, in TENCON 2015—2015 IEEE Region 10 Conference, Macao (2015), pp. 1–2. https://doi.org/10.1109/tencon.2015.7372913

  48. H.J. Mattausch, M. Yasuda, A. Kawabata, W. Imafuku, T. Koide, A 381 fs/bit, 51.7 nW/bit nearest hamming-distance search circuit in 65 nm CMOS, in 2011 Symposium on VLSI Circuits—Digest of Technical Papers, Honolulu, HI (2011), pp. 192–193. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5986100&isnumber=5985982

  49. X.-T. Nguyen, T.-T. Hoang, H.-T. Nguyen, K. Inoue, C.-K. Pham, A 1.2-V 162.9 pJ/cycle bitmap index creation core with 0.31-pW/bit standby power on 65-nm SOTB. Microprocess. Microsyst. 69, 112–117 (2019). https://doi.org/10.1016/j.micpro.2019.05.008

    Article  Google Scholar 

  50. T. Hoang, X. Nguyen, D. Le, C. Pham, Low-power floating-point adaptive-CORDIC-based FFT twiddle factor on 65-nm silicon-on-thin-BOX (SOTB) with back-gate bias. IEEE Trans. Circuits Syst. II Express Briefs 66, 1723–1727 (2019). https://doi.org/10.1109/tcsii.2019.2928138

    Article  Google Scholar 

  51. J.H. Min, S.-W. Kim, E.E. Swartzlander, A floating-point fused FFT butterfly arithmetic unit with merged multiple-constant multipliers, in Conference Record of the 45th Asilomar Conference on Signals, Systems and Computers, Pacific Grove, USA, Nov 2011, pp. 520–524

    Google Scholar 

  52. Institute of Standards and Technology (NIST), Advanced encryption standard (AES), Federal Information Processing Standards (NIST FIPS), vol. 197, Nov 2001. https://doi.org/10.6028/nist.fips.197, https://www.nist.gov/publications/advanced-encryption-standard-aes

  53. V.P. Hoang, V.L. Dao, C.K. Pham, Design of ultra-low power AES encryption cores with silicon demonstration in SOTB CMOS process. Electron. Lett. 53(23), 1512–1514 (2017). https://doi.org/10.1049/el.2017.2151

    Article  ADS  Google Scholar 

  54. V. Hoang, V. Nguyen, A. Nguyen, C. Pham, A low power AES-GCM authenticated encryption core in 65 nm SOTB CMOS process, in 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS), Boston, MA (2017), pp. 112–115. https://doi.org/10.1109/MWSCAS.2017.8052873

  55. P. Hamalainen, T. Alho, M. Hannikainen T.D. Hamalainen, Design and implementation of low-area and low-power AES encryption hardware core, in 9th EUROMICRO Conference on Digital System Design (DSD’06), Dubrovnik (2006), pp. 577–583. https://doi.org/10.1109/dsd.2006.40

  56. S. Mathew et al., 340 mV–1.1 V, 289 Gbps/W, 2090-gate NanoAES hardware accelerator with area-optimized encrypt/decrypt GF(24)2 polynomials in 22 nm tri-gate CMOS. IEEE J. Solid-State Circuits 50(4), 1048–1058 (2015). https://doi.org/10.1109/JSSC.2014.2384039

    Article  ADS  Google Scholar 

  57. W. Zhao, Y. Ha, M. Alioto, AES architectures for minimum-energy operation and silicon demonstration in 65 nm with lowest energy per encryption, in 2015 IEEE International Symposium on Circuits and Systems (ISCAS), Lisbon (2015), pp. 2349–2352. https://doi.org/10.1109/ISCAS.2015.7169155

  58. M. Tamura, M. Ikeda, 1.68 μJ/signature-generation 256-bit ECDSA over GF(p) signature generator for IoT devices, in 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC), Toyama (2016), pp. 341–344. https://doi.org/10.1109/ASSCC.2016.7844205

  59. S. Sugiyama, H. Awano, M. Ikeda, 31.3 μs/signature-generation 256-bit p ECDSA cryptoprocessor, in 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC), Tainan (2018), pp. 153–156. https://doi.org/10.1109/ASSCC.2018.8579287

  60. N. Guillermin, A high speed coprocessor for elliptic curve scalar multiplications over p, in Cryptographic Hardware and Embedded Systems, CHES 2010. CHES 2010, ed. by S. Mangard, F.X. Standaert. Lecture Notes in Computer Science, vol. 6225 (Springer, Berlin, 2010). https://doi.org/10.1007/978-3-642-15031-9_4

  61. J. Lee, J. Hsiao, H. Chang, C. Lee, An efficient DPA countermeasure with randomized montgomery operations for DF-ECC processor. IEEE Trans. Circuits Syst. II Express Briefs 59(5), 287–291 (2012). https://doi.org/10.1109/TCSII.2012.2190857

    Article  Google Scholar 

  62. J. Lee, S. Chung, H. Chang, C. Lee, Processor with side-channel attack resistance, in 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA (2013), pp. 50–51. https://doi.org/10.1109/ISSCC.2013.6487632

  63. Y. Hori, T. Katashita, Y. Ogasahara, A 65-nm SOTB implementation of a physically unclonable function and its performance improvement by body bias control, in 2017 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA (2017), pp. 1–3. https://doi.org/10.1109/S3S.2017.8309209

  64. T. Katashita, Y. Hori, Y. Ogasahara, Prototype of USB stick-sized PUF module for authentication and key generation, in 2017 IEEE 6th Global Conference on Consumer Electronics (GCCE), Nagoya (2017), pp. 1–2. https://doi.org/10.1109/gcce.2017.8229225

  65. K. Ishibashi, J. Kikuchi, N. Sugii, A 910nW delta sigma modulator using 65 nm SOTB technology for mixed signal IC of IoT applications, in 2017 IEEE International Conference on IC Design and Technology (ICICDT), Austin, TX (2017), pp. 1–3. https://doi.org/10.1109/icicdt.2017.7993514

  66. T. Yoshio, T. Kihara, T. Yoshimura, A 0.55 V back-gate controlled ring VCO for ADCs in 65 nm SOTB CMOS, in 2017 IEEE Asia Pacific Microwave Conference (APMC), Kuala Lumpar (2017), pp. 946–948. https://doi.org/10.1109/APMC.2017.8251606

  67. M.-T. Hoang, N. Sugii, K. Ishibashi, A 1.36 μW 312–315 MHz synchronized-OOK receiver for wireless sensor networks using 65 nm SOTB CMOS technology. Solid-State Electron. 117, 161–169 (2016). https://doi.org/10.1016/j.sse.2015.11.016

    Article  ADS  Google Scholar 

  68. V.-T. Nguyen, R. Ishikawa, K. Ishibashi, 83nJ/bit transmitter using code-modulated synchronized-OOK on 65 nm SOTB for normally-off wireless sensor networks. IEICE Trans. Electron. E101.C(7), 472–479 (2018). https://doi.org/10.1587/transele.e101.c.472

  69. T.-L. Nguyen, S. Takahashi, Y. Sato, K. Ishibashi, RF energy harvesting using cross-couple rectifier DTMOS on SOTB with phase effect of paired RF inputs, in 16th International Conference on Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology (ECTI-CON 2019), Pattaya Beach, Thailand, July 2019. https://doi.org/10.1109/ECTI-CON47248.2019.8955229

  70. K. Maekawa, H. Makiyama, Y. Yamamoto, T. Hasegawa, S. Okanishi, K. Sonoda, H. Shinkawata, T. Yamashita, S. Kamohara, Y. Yamaguchi, Comprehensive analysis of low-frequency noise variability components in bulk and fully depleted silicon-on-insulator metal–oxide–semiconductor field-effect transistor. Jpn. J. Appl. Phys. 57(4S), 04FD19 (2018). https://doi.org/10.7567/jjap.57.04fd19

  71. T. Ishigaki, R. Tsuchiya, Y. Morita, N. Sugii, S. Kimura, Effects of device structure and back biasing on HCI and NBTI in silicon-on-thin-BOX (SOTB) CMOSFET. IEEE Trans. Electron Devices 58(4), 1197–1204 (2011). https://doi.org/10.1109/TED.2011.2107520

    Article  ADS  Google Scholar 

  72. R. Kishida, T. Asuke, J. Furuta, K. Kobayashil, Extracting BTI-induced degradation without temporal factors by using BTI-sensitive and BTI-insensitive ring oscillators, in 2019 IEEE 32nd International Conference on Microelectronic Test Structures (ICMTS), Kita-Kyushu City, Fukuoka, Japan (2019), pp. 24–27. https://doi.org/10.1109/icmts.2019.8730967

  73. R. Kishida, K. Kobayashi, Correlations between plasma induced damage and negative bias temperature instability in 65 nm bulk and thin-BOX FDSOI processes, 2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Burlingame, CA (2016), pp. 1–3. https://doi.org/10.1109/S3S.2016.7804371

  74. Y. Yamamoto et al., The study of plasma induced damage on 65-nm Silicon on thin BOX transistor. IEEE J. Electron Devices Soc. 7, 825–828 (2019). https://doi.org/10.1109/JEDS.2019.2917893

    Article  Google Scholar 

  75. T. Ishigaki, R. Tsuchiya, Y. Morita, N. Sugii, S. Kimura, T. Iwamatsu, T. Ipposhi, Y. Inoue, T. Hiramoto, Wide-range threshold voltage controllable silicon on thin buried oxide integrated with bulk complementary metal oxide semiconductor featuring fully silicided NiSi gate electrode. Jpn. J. Appl. Phys. 47(4), 2585–2588 (2008). https://doi.org/10.1143/jjap.47.2585

    Article  ADS  Google Scholar 

  76. S. Hirokawa, R. Harada, M. Hashimoto, T. Onoye, Characterizing alpha- and neutron-induced SEU and MCU on SOTB and bulk 0.4-V SRAMs. IEEE Trans. Nucl. Sci. 62(2), 420–427 (2015). https://doi.org/10.1109/TNS.2015.2403265

    Article  ADS  Google Scholar 

  77. S. Manabe, Y. Watanabe, W. Liao, M. Hashimoto, S. Abe, Estimation of muon-induced SEU rates for 65-nm bulk and UTBB-SOI SRAMs. IEEE Trans. Nucl. Sci. 66(7), 1398–1403 (2019). https://doi.org/10.1109/TNS.2019.2916191

    Article  ADS  Google Scholar 

  78. D. Kobayashi et al., Heavy-ion soft errors in back-biased thin-BOX SOI SRAMs: hundredfold sensitivity due to line-type multicell upsets. IEEE Trans. Nucl. Sci. 65(1), 523–532 (2018). https://doi.org/10.1109/TNS.2017.2774805

    Article  ADS  Google Scholar 

  79. C. Chung, D. Kobayashi, K. Hirose, Resistance-based modeling for soft errors in SOI SRAMs caused by radiation-induced potential perturbation under the BOX. IEEE Trans. Device Mater. Reliab. 18(4), 574–582 (2018). https://doi.org/10.1109/TDMR.2018.2873220

    Article  Google Scholar 

  80. K. Zhang, Y. Manzawa, K. Kobayashi, Impact of body bias on soft error tolerance of bulk and Silicon on Thin BOX structure in 65-nm process, in 2014 IEEE International Reliability Physics Symposium, Waikoloa, HI (2014), pp. SE.2.1–SE.2.4. https://doi.org/10.1109/irps.2014.6861174

  81. T. Calin, M. Nicolaidis, R. Velazco, Upset hardened memory design for submicron CMOS technology. IEEE Trans. Nucl. Sci. 43(6), 2874–2878 (1996). https://doi.org/10.1109/23.556880

    Article  ADS  Google Scholar 

  82. A. Makihara et al., SEE in a 0.15/spl mu/m fully depleted CMOS/SOI commercial process. IEEE Trans. Nucl. Sci. 51(6), 3621–3625 (2004). https://doi.org/10.1109/TNS.2004.839155

    Article  ADS  Google Scholar 

  83. J. Yamaguchi, J. Furuta, K. Kobayashi, A radiation-hardened non-redundant flip-flop, stacked leveling critical charge flip-flop in a 65 nm thin BOX FD-SOI process, in 2015 15th European Conference on Radiation and Its Effects on Components and Systems (RADECS), Moscow (2015), pp. 1–4. https://doi.org/10.1109/radecs.2015.7365581

  84. K. Yamada, M. Ebara, K. Kojima, Y. Tsukita, J. Furuta, K. Kobayashi, Radiation-hardened structure to reduce sensitive range of a stacked structure for FDSOI. IEEE Trans. Nucl. Sci. 66(7), 1418–1426 (2019). https://doi.org/10.1109/TNS.2019.2908722

    Article  ADS  Google Scholar 

  85. M. Ebara, K. Yamada, K. Kojima, Y. Tsukita, J. Furuta, K. Kobayashi, Evaluation of soft-error tolerance by neutrons and heavy ions on flip flops with guard gates in a 65 nm thin BOX FDSOI process, in 2019 19th European Conference on Radiation and Its Effects on Components and Systems (RADECS), Montpellier (2019), pp. 1–5

    Google Scholar 

  86. W. Liao, M. Hashimoto, Analyzing impacts of SRAM, FF and combinational circuit on chip-level neutron-induced soft error rate. IEICE Trans. Electron. E102.C(4), 296–302 (2019). https://doi.org/10.1587/transele.2018cdp0004

    Article  ADS  Google Scholar 

  87. Dale Dougherty, The maker movement. Innovations Technol. Governance Globalization 7(3), 11–14 (2012). https://doi.org/10.1162/INOV_a_00135

    Article  Google Scholar 

  88. C. Anderson, Makers: The New Industrial Revolution (Crown Business, New York, 2012). ISBN: 978-0307720955

    Google Scholar 

  89. M. Horowitz, E. Alon, D. Patil, S. Naffziger, R. Kumar, K. Bernstein, Scaling, power, and the future of CMOS, in IEEE International Electron Devices Meeting, 2005. IEDM Technical Digest., Washington, DC (2005), pp. 7–15. https://doi.org/10.1109/iedm.2005.1609253

  90. https://www.arduino.cc/en/Guide/MKRVidor4000

  91. F.M. del Prado Martín, The thermodynamics of human reaction times (2009). arXiv:0908.3170, https://arxiv.org/abs/0908.3170

  92. M.H. Devoret, R.J. Schoelkopf, Superconducting circuits for quantum information: an outlook. Science 339(6124), 1169–1174 (2013). https://doi.org/10.1126/science.1231930

    Article  ADS  Google Scholar 

  93. E. Charbon et al., Cryo-CMOS for quantum computing, in 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA (2016), pp. 13.5.1–13.5.4. https://doi.org/10.1109/iedm.2016.7838410

  94. A. Beckers, F. Jazaeri, H. Bohuslavskyi, L. Hutin, S. De Franceschi, C. Enz, Design-oriented modeling of 28 nm FDSOI CMOS technology down to 4.2 K for quantum computing, in 2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Granada (2018), pp. 1–4. https://doi.org/10.1109/ulis.2018.8354742

Download references

Acknowledgements

The part of the work, especially on developing the SOTB technology by the Low-power Electronics Association and Project (LEAP), is supported by the Ministry of Economy, Trade and Industry (METI) and the New Energy and Industrial Technology Development Organization (NEDO). Part of the chip fabrication by the universities is done under a support of VLSI Design and Education Center (VDEC) in collaboration with Renesas Electronics Corporation, Cadence Corporation, Synopsys Corporation and Mentor Graphics Corporation.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Nobuyuki Sugii .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Sugii, N., Kamohara, S., Ikeda, M. (2020). The Future of Ultra-Low Power SOTB CMOS Technology and Applications. In: Murmann, B., Hoefflinger, B. (eds) NANO-CHIPS 2030. The Frontiers Collection. Springer, Cham. https://doi.org/10.1007/978-3-030-18338-7_6

Download citation

Publish with us

Policies and ethics