Skip to main content

Library Pruning for Power Saving During Timing and Electrical Design Rules Optimization

  • Conference paper
  • First Online:
Advanced Intelligent Systems for Sustainable Development (AI2SD’2018) (AI2SD 2018)

Abstract

Timing optimization techniques are widely used to meet the frequency and electrical design rules requirement of integrated circuits, they use logical and physical transformation to speed up the problematic signals and to close the design setup and hold constraints. On the other side, each technique induces a power increase as a cost for signal speed up. In this paper, we propose a standard cell library tuning methodology to reduce the timing optimization impact on power increase. We divide each optimization step of the place and route process into two sub-steps, the first one uses only low power standard library cells and try to correct the maximum number of violations, and the second uses all the available cells in the library to close the remaining violations. Experimental results on 45 industrial designs of different processes show that the proposed methodology provides a leakage power reduction of 5%, a total power reduction of 1.3% and a timing improvement of 55.8% in Total Negative Slack and 37.5% in Worst Negative Slack.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Jeon, B.-K., Hong, S.-K., Kwon, O.-K.: A low-power 10-bit single-slope ADC using power gating and multi-clocks for CMOS image sensors. In: 2016 International SoC Design Conference (ISOCC) (2016)

    Google Scholar 

  2. Melikyan, V., Babayan, E., Melikyan, A., Babayan, D., Petrosyan, P., Mkrtchyan, E.: Clock gating and multi-VTH low power design methods based on 32/28 nm ORCA processor. In: 2015 IEEE East-West Design & Test Symposium (EWDTS) (2015)

    Google Scholar 

  3. Bhasker, J., Rakesh, C.: Static Timing Analysis for Nanometer Designs A Practical Approach. Springer, New York (2009)

    Google Scholar 

  4. Shin, Y., Kim, H.-O.: Analysis of power consumption in VLSI global interconnects. IEEE (2015)

    Google Scholar 

  5. Arkadiy, M.: Short-circuit power reduction by using high-threshold transistors. J. Low Power Electron. Appl. 2, 69–78 (2012)

    Article  Google Scholar 

  6. Benjamin, C., Ivailo, N.: Power compiler: a gate-level power optimization and synthesis system. IEEE (1997)

    Google Scholar 

  7. Srivastava, A., Sylvester, D., Blaauw, D.: Statistical Analysis and Optimization for VLSI: Timing and Power. Springer, New York (2005)

    Google Scholar 

  8. Konstantin, M., Avinoam, K., Shmuel, W.: Multi-Net Optimization of VLSI Interconnect. Springer, New York (2015)

    MATH  Google Scholar 

  9. Kahng, A.B., Igor, J.L., Markov, L., Hu, J.: VLSI Physical Design: From Graph Partitioning to Timing Closure. Springer, Dordrecht (2011)

    Book  Google Scholar 

  10. War, K.M., Rosdi, B.A.B., Wee, C.E.: CAD automation module based on cell moving algorithm for ECO timing optimization, pp. 284–288. IEEE (2011)

    Google Scholar 

  11. Alpert, C., Chu, C., Gandham, G., Hrkic, M., Hu, J., Kashyap, C., Quay, S.: Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 23(1), 136–141 (2004)

    Article  Google Scholar 

  12. Chen, C., Tsui, C., Ahmadi, M.: A gate duplication technique for timing optimization. Can. J. Electr. Comput. Eng. 28(1), 37–40 (2003)

    Article  Google Scholar 

  13. Guilherme, F., Mateus, F., Jucemar, M., Marcelo, J., Ricardo, R.: Drive strength aware cell movement techniques for timing driven placement. ACM (2016)

    Google Scholar 

  14. Liu, D., Yu, B., Chowdhury, S., Pan, D.Z.: Incremental layer Assignment for critical path timing. In Proceedings of the 53rd Annual Design Automation Conference, DAC 2016, June 2016

    Google Scholar 

  15. Nitro-SoC™ and Olympus-SoC™ User’s Manual, Mentor Graphics, November 2016

    Google Scholar 

  16. Ankur, S., Ryan, K., Majid, S.: On the complexity of gate duplication. IEEE Trans. Comput. Aided Des. Integr. Circ. Syst. 20(9), 1170–1176 (2001)

    Article  Google Scholar 

  17. Mohammed, M., Siva, Y.: Study and implementation of multi-VDD power reduction technique. In: 2015 International Conference on Computer Communication and Informatics (ICCCI), pp. 1–4 (2015)

    Google Scholar 

  18. Hima Bindu, K., Hamid, M.: ASIC design flow tutorial using synopsys tools. Nano-Electronics & Computing Research Lab School of Engineering San Francisco State University, San Francisco, Spring 2009

    Google Scholar 

  19. Flach, G., Fogaça, M., Monteiro, J., Johann, M., Reis, R.: Drive strength aware cell movement techniques for timing driven placement. In: Proceedings of 2016 on International Symposium on Physical Design, ISPD 2016, pp. 73–80 (2016)

    Google Scholar 

Download references

Acknowledgment

This research was supported by Mentor Graphics Corporation. We thank our colleagues from CDS division who provided insight and expertise that greatly assisted the research, although they may not agree with all of the interpretations/conclusions of this paper.

We thank Dr. Hazem El Tahawy (Mentor Graphics, Managing Director MENA Region) for initiating and supporting this work, Chinnery David (Architect, CSD Nitro R&D Optimization) for assistance, help and guidelines through the research, and Bhardwaj Sarvesh (Group Architect, ICDS P&R Solutions Optimization) for the opportunity to work on such advanced topic.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohamed Chentouf .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Chentouf, M., Cherif, L., El Abidine Alaoui Ismaili, Z. (2019). Library Pruning for Power Saving During Timing and Electrical Design Rules Optimization. In: Ezziyyani, M. (eds) Advanced Intelligent Systems for Sustainable Development (AI2SD’2018). AI2SD 2018. Advances in Intelligent Systems and Computing, vol 912. Springer, Cham. https://doi.org/10.1007/978-3-030-12065-8_21

Download citation

Publish with us

Policies and ethics