Skip to main content

Machine Learning for Mask Synthesis

  • Chapter
  • First Online:
Machine Learning in VLSI Computer-Aided Design

Abstract

Recent mask synthesis takes longer runtime due to the demand on higher accuracy. It is common that optical proximity correction (OPC) takes a few days. Machine learning has recently been applied to mask synthesis process with some success. This chapter addresses two popular mask synthesis tasks, OPC and etch proximity correction (EPC), and presents how machine learning is applied for their efficient implementation. Discussion on learning parameters, preparation of learning data set, and techniques to avoid over-fitting are also provided.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 149.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The complete form of the Bessel function is Ψ nm(r, φ) = J n(r)e imφ, but the real part is only considered in this chapter.

References

  1. N. Cobb, Fast optical and process proximity correction algorithm for integrated circuit manufacturing, Ph.D. Dissertation, University of California at Berkeley, 1998

    Google Scholar 

  2. M. Salama, A. Hamouda, Efficient etch bias compensation techniques for accurate on-wafer patterning, in SPIE Advanced Lithography, March 2015, pp. 1–7

    Google Scholar 

  3. J. Park, S. Kim, S. Shim, S. Suh, H. Oh, The effective etch process proximity correction methodology for improving on chip CD variation on 20 nm node DRAM gate, in SPIE Advanced Lithography, March 2011, pp. 1–10

    Google Scholar 

  4. S. Shang, Y. Granik, M. Niehoff, Etch proximity correction by integrated model-based retargeting and OPC flow, in SPIE Advanced Lithography, March 2007, pp. 225–232

    Google Scholar 

  5. Q. Liu, R. Cheng, L. Zhang, Study of model based etch bias retarget for OPC, in SPIE Advanced Lithography, March 2010, pp. 1–6

    Google Scholar 

  6. T. Matsunawa, B. Yu, D.Z. Pan, Optical proximity correction with hierarchical Bayes model, in SPIE Advanced Lithography, March 2015, pp. 1–10

    Google Scholar 

  7. R. Luo, Optical proximity correction using a multilayer perceptron neural network. J. Opt. 15(7), 075708–075713 (2013)

    Article  Google Scholar 

  8. K.-S. Luo, Z. Shi, X.-L. Yan, Z. Geng, SVM based layout retargeting for fast and regularized inverse lithography. J. Zhejiang Univ. Sci. C 15(5), 390–400 (2014)

    Article  Google Scholar 

  9. H. Zhang, B. Yu, E. Young, Enabling online learning in lithography hotspot detection with information-theoretic feature optimization, in IEEE/ACM International Conference On Computer-Aided Design (ICCAD), November 2016, pp. 1–8

    Google Scholar 

  10. S. Choi, S. Shim, Y. Shin, Machine learning (ML)-guided OPC using basis functions of polar Fourier transform, in SPIE Advanced Lithography, March 2016, pp. 1–8

    Google Scholar 

  11. M. Jeong, J.W. Hahn, Prediction of biases for optical proximity correction through partial coherent identification. J. Micro/Nanolithogr. MEMS MOEMS 15(1), 1–12 (2016)

    Article  Google Scholar 

  12. A. Gu, A. Zakhor, Optical proximity correction with linear regression. IEEE Trans. Semicond. Manuf. 21(2), 263–271 (2008)

    Article  Google Scholar 

  13. T. Shah, O. Dabeer, Fast inverse lithography using machine learning, in Indian Workshop on Machine Learning, July 2013, pp. 21–22

    Google Scholar 

  14. S. Shim, Y. Shin, Etch proximity correction through machine-learning-driven etch bias model, in SPIE Advanced Lithography, March 2016, pp. 1–10

    Google Scholar 

  15. S. Shim, Y. Shin, Machine learning-guided etch proximity correction. IEEE Trans. Semicond. Manuf. 30(1), 1–7 (2017)

    Article  Google Scholar 

  16. Q. Wang, O. Ronneberger, H. Burkhardt, Fourier analysis in polar and spherical coordinates, Albert-Ludwigs-Universität Freiburg, Institut für Informatik, Technical Report, 2008

    Google Scholar 

  17. M. Born, E. Wolf, Principles to Optics, 4th edn. (Pergamon Press, London, 1970)

    Google Scholar 

  18. N. Cobb, D. Dudau, Dense OPC and verification for 45 nm, in Proceedings of SPIE Advanced Lithography, March 2006, pp. 1–7

    Google Scholar 

  19. Synopsys, Progen, User Guide (2016)

    Google Scholar 

  20. Synopsys, Proteus, User Guide (2016)

    Google Scholar 

  21. M. Feldman, Nanolithography: The Art of Fabricating Nanoelectronic and Nanophotonic Devices and Systems (Woodhead, Oxford, 2014)

    Google Scholar 

  22. J. Li, X. Li, R. Lugg, L.S. Melvin III, Kernel count reduction in model based optical proximity correction process models. Jpn. J. Appl. Phys. 48(6S), 1–5 (06FA05) (2009)

    Google Scholar 

  23. P. Gong, S. Liu, W. Lv, X. Zhou, Fast aerial image simulations for partially coherent systems by transmission cross coefficient decomposition with analytical kernels. J. Vac. Sci. Technol. B: Nanotechnol. Microelectron. Mater. Process. Meas. Phenom. 30(6), 1–7 (06FG03) (2012)

    Google Scholar 

  24. Y. Granik, Correction for etch proximity: new models and applications, in SPIE Advanced Lithography, March 2001, pp. 98–112

    Google Scholar 

  25. J.B. MacQueen, Some methods for classification and analysis of multivariate observations, in Fifth Berkeley Symposium on Mathematical Statistics and Probability, vol. 1 (1967), pp. 281–297

    Google Scholar 

  26. R. Ng, J. Han, CLARANS: a method for clustering objects for spatial data mining. IEEE Trans. Knowl. Data Eng. 14(5), 1003–1016 (2002)

    Article  Google Scholar 

  27. C. Wu, W. Yang, L. Luan, H. Song, Photoresist 3D profile related etch process simulation and its application to full chip etch compact modeling, in SPIE Advanced Lithography, March 2015, pp. 1–8

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Seongbo Shim .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Shim, S., Choi, S., Shin, Y. (2019). Machine Learning for Mask Synthesis. In: Elfadel, I., Boning, D., Li, X. (eds) Machine Learning in VLSI Computer-Aided Design. Springer, Cham. https://doi.org/10.1007/978-3-030-04666-8_3

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-04666-8_3

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-04665-1

  • Online ISBN: 978-3-030-04666-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics