Skip to main content

Power-Thermal Modeling and Control of Energy-Efficient Servers and Datacenters

  • Chapter
  • First Online:
Handbook on Data Centers

Abstract

This continuous growth in demand for computing has resulted in larger collections of servers machines, referred to as clusters or server farms, being hosted in denser datacenters thus having a higher computational and storage capability per occupied unit volume.

J. Kim was also affiliated with ESL-EPFL during the period this research was developed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 169.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Notes

  1. 1.

    The scaling theory he and his colleagues formulated in 1974 postulated that MOSFETs continue to function as voltage-controlled switches while all key figures of merit (such as layout density, operating speed, and energy efficiency improve provided geometric dimensions, voltages, and doping concentrations) are consistently scaled to maintain the same electric field. This property underlies the achievement of Moore’s Law and the evolution of microelectronics over the last few decades.

  2. 2.

    A rack unit, U or RU, is a unit of measure to describe the height of rack-mount servers placed in 19-in. or a 23-in. rack, where 1U corresponds to 1.75 in. (44.45 mm) high.

  3. 3.

    In order to reduce the solution complexity, we find the solution assuming that the ideal VM consolidation. The approach is optimistic in that the estimated power consumption is lower than actual scenario due to the fragmentation of the server utilization caused by different utilizations among VMs and fractional ratio of the obtained server utilization to VM utilization in actual scenario.

  4. 4.

    In this work, we target the SLA violation to be less than 5 %. Thus, we used 95th-percentile value instead of the maximum value to characterize the worst-case behavior of the corresponding period. Considering the correlation among VMs, we can use lower percentile values, e.g., 90-, 80-th percentile, etc., to reduce more power consumption while satisfying SLA requirement, as presented in [51]. Our optimization approach is directly applicable to these cases as well.

References

  1. K. G. Brill, “The invisible crisis in the data center: The economic meltdown of Moore’s law,” white paper, Uptime Institute, 2007.

    Google Scholar 

  2. Energy Star Program, “EDA Report to Congress on Server and Data Center Energy Efficiency,” 2007.

    Google Scholar 

  3. L. A. Barroso and U. Holzle. “The datacenter as a computer: An introduction to the design of warehouse-scale machines,” Synthesis Lectures on Computer Architecture 4, no. 1 (2009): 1–108.

    Google Scholar 

  4. M. Ferdman, A. Adileh, O. Kocberber, S. Volos, M. Alisafaee, D. Jevdjic, C. Kaynak, A. D. Popescu, A. Ailamaki, and B. Falsafi. “Clearing the clouds: a study of emerging scale-out workloads on modern hardware,” in ACM SIGARCH Computer Architecture News, vol. 40, no. 1, pp. 37–48. ACM, 2012.

    Google Scholar 

  5. A. Adileh, P. Lotfi-Kamran, S. Volos, S. Volos, and C. Kaynak, “CloudSuite on Flexus tutorial,” in international symposium on computer architecture (ISCA) 2012.

    Google Scholar 

  6. D. Meisner, C. M. Sadler, L. A. Barroso, W.-D. Weber, and T. F. Wenisch, “Power management of online data-intensive services,” in Computer Architecture (ISCA), 2011 38th Annual International Symposium on, pp. 319–330. IEEE, 2011.

    Google Scholar 

  7. T. Benson, A. Anand, A. Akella, and M. Zhang, “Understanding data center traffic characteristics,” ACM SIGCOMM Computer Communication Review 40, no. 1 (2010): 92–99.

    Google Scholar 

  8. H. Goudarzi and M. Pedram, “Energy-efficient virtual machine replication and placement in a cloud computing system,” in Cloud Computing (CLOUD), 2012 IEEE 5th International Conference on, pp. 750–757. IEEE, 2012.

    Google Scholar 

  9. 42U Datacenter Efficiency Consulting Corporation, “Data Center Energy Efficiency Calculator,” http://www.42u.com/efficiency/energy-efficiency-calculator.htm, 2011.

  10. E. Schurman and J. Brutlag, “The user and business impact of server delays, additional bytes, and HTTP chunking in web search,” in Presentation at the OReilly Velocity Web Performance and Operations Conference, 2009.

    Google Scholar 

  11. G. H. Loh and Y. Xie, “3D stacked microprocessor: Are we there yet?,” Micro, IEEE 30, no. 3 (2010): 60–64.

    Google Scholar 

  12. HP DL980, [online available] http://h18000.www1.hp.com/products/servers/platforms/.

  13. Eurocloud, [online avalable] http://www.eurocloudserver.com/.

  14. D. Meisner and T. F. Wenisch, “Does low-power design imply energy efficiency for data centers?,” in Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design, pp. 109–114. IEEE Press, 2011.

    Google Scholar 

  15. A. Coskun, J. Meng, D. Atienza, and M. M. Sabry, “Attaining single-chip, high-performance computing through 3D systems with active cooling,” Micro, IEEE 31, no. 4 (2011): 63–75.

    Google Scholar 

  16. U. S. Deparment of Energy, “FEMP Best Practices Guide for Energy-Efficient Data Center Design,” in 2011.

    Google Scholar 

  17. A. N. Nowroz, R. Cochran, and S. Reda, “Thermal monitoring of real processors: Techniques for sensor allocation and full characterization,” in Proceedings of the 47th Design Automation Conference, pp. 56–61. ACM, 2010.

    Google Scholar 

  18. H. David, C. Fallin, E. Gorbatov, U. R. Hanebutte, and O. Mutlu, “Memory power management via dynamic voltage/frequency scaling,” in Proceedings of the 8th ACM international conference on Autonomic computing, pp. 31–40. ACM, 2011.

    Google Scholar 

  19. R. Raghavendra, P. Ranganathan, V. Talwar, Z. Wang, and X. Zhu, “No power struggles: Coordinated multi-level power management for the data center,” in ACM SIGARCH Computer Architecture News, vol. 36, no. 1, pp. 48–59. ACM, 2008.

    Google Scholar 

  20. X. Wang and Y. Wang, “Coordinating power control and performance management for virtualized server clusters,” Parallel and Distributed Systems, IEEE Transactions on 22, no. 2 (2011): 245–259.

    Google Scholar 

  21. R. Uhlig, G. Neiger, D. Rodgers, A. L. Santoni, F. C. Martins, A. V. Anderson, S. M. Bennett, A. Kagi, F. H. Leung, and L. Smith, “Intel virtualization technology,” Computer 38, no. 5 (2005): 48–56.

    Google Scholar 

  22. P. Muditha Perera and C. Keppitiyagama, “A performance comparison of hypervisors,” in Advances in ICT for Emerging Regions (ICTer), 2011 International Conference on, pp. 120–120. IEEE, 2011.

    Google Scholar 

  23. N. Huber, M. Quast, M. Hauck, and S. Kounev, “Evaluating and Modeling Virtualization Performance Overhead for Cloud Environments,” in CLOSER, pp. 563–573. 2011.

    Google Scholar 

  24. CoolDoor, [online available] http://www.cooldoor.com.au/html/specifications.html.

  25. M. Pawlish and A. S. Varde, “Free cooling: A paradigm shift in data centers,” in Information and Automation for Sustainability (ICIAFs), 2010 5th International Conference on, pp. 347–352. IEEE, 2010.

    Google Scholar 

  26. D. Garday, “Reducing data center energy consumption with wet side economizers,” White paper, Intel (2007).

    Google Scholar 

  27. D. Atwood and J. G. Miner, “Reducing data center cost with an air economizer,” White Paper: Intel Corporation (2008).

    Google Scholar 

  28. T. Lu, X. Lu, M. Remes, and M. Viljanen, “Investigation of air management and energy performance in a data center in Finland: Case study,” Energy and Buildings 43, no. 12 (2011): 3360–3372.

    Google Scholar 

  29. D. Wang, B. Ganesh, N. Tuaycharoen, K. Baynes, A. Jaleel, and B. Jacob,“DRAMsim: a memory system simulator,” ACM SIGARCH Computer Architecture News 33, no. 4 (2005): 100–107.

    Google Scholar 

  30. Micron’s system power calculators, [online available] http://www.micron.com/products/support/power-calc.

  31. D. Economou, S. Rivoire, C. Kozyrakis, and P. Ranganathan, “Full-system power analysis and modeling for server environments,” in Proceedings of Workshop on Modeling, Benchmarking, and Simulation, pp. 70–77. 2006.

    Google Scholar 

  32. S. Rivoire, P. Ranganathan, and C. Kozyrakis, “A Comparison of High-Level Full-System Power Models,” HotPower 8 (2008): 3–3.

    Google Scholar 

  33. M. Pedram and I, Hwang,“Power and performance modeling in a virtualized server system,” in Parallel Processing Workshops (ICPPW), 2010 39th International Conference on, pp. 520–526. IEEE, 2010.

    Google Scholar 

  34. M. K. Patterson, “The effect of data center temperature on energy efficiency,” in Thermal and Thermomechanical Phenomena in Electronic Systems, 2008. ITHERM 2008. 11th Intersociety Conference on, pp. 1167–1174. IEEE, 2008.

    Google Scholar 

  35. J. Choi, Y. Kim, A. Sivasubramanjam, J. Srebric, Q. Wang, and J. Lee, “A CFD-based tool for studying temperature in rack-mounted servers,” Computers, IEEE Transactions on 57, no. 8 (2008): 1129–1142.

    Google Scholar 

  36. T. Heath, A. P. Centeno, P. George, L. Ramos, Y. Jaluria, and R. Bianchini, “Mercury and freon: temperature emulation and management for server systems,” in ACM SIGARCH Computer Architecture News, vol. 34, no. 5, pp. 106–116. ACM, 2006.

    Google Scholar 

  37. W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. R. Stan, “HotSpot: A compact thermal modeling methodology for early-stage VLSI design,” Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 14, no. 5 (2006): 501–513.

    Google Scholar 

  38. R. Ayoub, R. Nath, and T. Rosing, “JETC: Joint energy thermal and cooling management for memory and CPU subsystems in servers,” in High Performance Computer Architecture (HPCA), 2012 IEEE 18th International Symposium on, pp. 1–12. IEEE, 2012.

    Google Scholar 

  39. E. Pakbaznia and M. Pedram, “Minimizing data center cooling and server power costs,” in Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, pp. 145–150. ACM, 2009.

    Google Scholar 

  40. D. C. Hwang., V. P. Manno, M. Hodes, and G. J. Chan, “Energy savings achievable through liquid cooling: A rack level case study,” in Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), 2010 12th IEEE Intersociety Conference on, pp. 1–9. IEEE, 2010.

    Google Scholar 

  41. T. J. Breen, E. J. Walsh, J. Punch, A. J. Shah, and C. E. Bash, “From chip to cooling tower data center modeling: Part I influence of server inlet temperature and temperature rise across cabinet,” in Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), 2010 12th IEEE Intersociety Conference on, pp. 1–10. IEEE, 2010.

    Google Scholar 

  42. A. Qouneh, C Li, and T. Li. “A quantitative analysis of cooling power in container-based data centers,” in Workload Characterization (IISWC), 2011 IEEE International Symposium on, pp. 61–71. IEEE, 2011.

    Google Scholar 

  43. J. Kim, M. Ruggiero, and D. Atienza, “Free cooling-aware dynamic power management for green datacenters,” in High Performance Computing and Simulation (HPCS), 2012 International Conference on, pp. 140–146. IEEE, 2012.

    Google Scholar 

  44. Smart data center energy monitoring: a thermal-aware design approach to 'Green IT`, http://esl.epfl.ch/cms/op/edit/lang/en/pid/57400

  45. Credit Suisse, https://www.credit-suisse.com/

  46. E. Pakbaznia, et al., “Minimizing data center cooling and server power costs,” in Proc. ISLPED, 2009.

    Google Scholar 

  47. N. Bobroff, et al., “Dynamic placement of virtual machines for managing sla violations,” in Proc. IM 2007.

    Google Scholar 

  48. P. Padala, X. Zhu, Z.i Wang, S. Singhal, and K. G. Shin. “Performance evaluation of virtualization technologies for server consolidation,” in HP Labs Tec. Report, 2007.

    Google Scholar 

  49. O. Tickoo, R. Iyer, R. Illikkal, and D. Newell, “Modeling virtual machine performance: challenges and approaches,” in ACM SIGMETRICS Performance Evaluation Review 37, 2010.

    Google Scholar 

  50. S. Govindan, J. Liu, A. Kansal, and A. Sivasubramaniam. “Cuanta: quantifying effects of shared on-chip resource interference for consolidated virtual machines,” in Proceedings of the 2nd ACM Symposium on Cloud Computing, p. 22. ACM, 2011.

    Google Scholar 

  51. A. Verma, et al., “Server workload analysis for powr minimization using consolidation,” in Proc. USENIX, 2009.

    Google Scholar 

  52. X. Meng, et al., “Efficient resource provisioning in compute clouds via VM multiplexign,” in Proc. ICAC, 2010.

    Google Scholar 

  53. M. Chen, et al., “Effective VM sizing in virtualized data centers,” in Proc. IM, 2011.

    Google Scholar 

  54. K. Halder, et al., “Risk aware provisioning and resource aggregation based consolidation of virtual machines,” in Proc. Cloud, 2012.

    Google Scholar 

  55. A. Menon, J. R. Santos, Y. Turner, G. J. Janakiraman, and W. Zwaenepoel, “Diagnosing performance overheads in the xen virtual machine environment,” in Proceedings of the 1st ACM/USENIX international conference on Virtual execution environments, pp. 13–23. ACM, 2005.

    Google Scholar 

  56. J. Kim, M. Ruggiero, D. Atienza, and M. Lederberger, “Correlation-aware virtual machine allocation for energy-efficient datacenters,” in Proc. Conference on Design, Automation and Test in Europe (DATE), pp. 1345–1350, 2013.

    Google Scholar 

  57. M. K. Patterson, D. Atwood, and J. G. Miner, “Evaluation of air-side economizer use in a compute-intensive data center,” ASME, 2009.

    Google Scholar 

  58. M. Pervila and J. Kangasharju,“Running servers around zero degrees,” ACM SIGCOMM Computer Communication Review 41, no. 1 (2011): 96–101.

    Google Scholar 

  59. “Google data center,” http://www.google.cim/about/datacenters/#.

  60. P. Barham, B. Dragovic, K. Fraser, S. Hand, T. Harris, A. Ho, R. Neugebauer, I. Pratt, and A. Warfield, “Xen and the art of virtualization,” ACM SIGOPS Operating Systems Review 37, no. 5 (2003): 164–177.

    Google Scholar 

  61. C. Clark, K. Fraser, S. Hand, J. G. Hansen, E. Jul, C. Limpach, I. Pratt, and A. Warfield, “Live migration of virtual machines,” in Proceedings of the 2nd conference on Symposium on Networked Systems Design and Implementation-Volume 2, pp. 273–286. USENIX Association, 2005.

    Google Scholar 

  62. D. Kusic, J. O. Kephart, J. E. Hanson, N. Kandasamy, and G. Jiang, “Power and performance management of virtualized computing environments via lookahead control,” Cluster computing 12, no. 1 (2009): 1–15.

    Google Scholar 

  63. G. Dhiman, G. Marchetti, and T. Rosing, “vGreen: a system for energy efficient computing in virtualized environments,” in Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design, pp. 243–248. ACM, 2009.

    Google Scholar 

  64. J. Xu and J. A. Fortes, “Multi-objective virtual machine placement in virtualized data center environments,” in Green Computing and Communications (GreenCom), 2010 IEEE/ACM Int’l Conference on and Int’l Conference on Cyber, Physical and Social Computing (CPSCom), pp. 179–188. IEEE, 2010.

    Google Scholar 

  65. J.-W. Jang, M. Jeon, H.-S. Kim, H. Jo, J.-S. Kim, and S.l Maeng, “Energy reduction in consolidated servers through memory-aware virtual machine scheduling,” Computers, IEEE Transactions on 60, no. 4 (2011): 552–564.

    Google Scholar 

  66. S.-Y. Bang, K. Bang, S. Yoon, and E.-Y. Chung, “Run-time adaptive workload estimation for dynamic voltage scaling,” Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 28, no. 9 (2009): 1334–1347.

    Google Scholar 

  67. K. Madsen, “A root-finding algorithm based on Newton’s method,” BIT Numerical Mathematics 13, no. 1 (1973): 71–75.

    Google Scholar 

  68. R. Buyya, R. Ranjan, and R. N. Calheiros, “Modeling and simulation of scalable Cloud computing environments and the CloudSim toolkit: Challenges and opportunities,” in High Performance Computing and Simulation, 2009. HPCS'09. International Conference on, pp. 1–11. IEEE, 2009.

    Google Scholar 

  69. D. Meisner, B. T. Gold, and T. F. Wenisch, “PowerNap: eliminating server idle power,” in ACM Sigplan Notices, vol. 44, no. 3, pp. 205–216. ACM, 2009.

    Google Scholar 

  70. Y. Guo, D. Zhu, and H. Aydin, “Reliability-aware power management for parallel real-time applications with precedence constraints,” in Green Computing Conference and Workshops (IGCC), 2011 International, pp. 1–8. IEEE, 2011.

    Google Scholar 

  71. J. Kong et al. Recent thermal management techniques for microprocessors. In ACM Computing Surveys, 44(3):13:1–13:42, 2012.

    Google Scholar 

  72. I. Koren and C. M. Krishna. Temperature-aware computing. In Sustainable Computing: Informatics and Systems, 1(1):46–56, 2011.

    Google Scholar 

  73. J. Choi et al. Thermal-aware task scheduling at the system software level. In ISLPED, 2007.

    Google Scholar 

  74. A. K. Coskun, T. Simunic Rosing, and K. Whisnant. Temperature aware task scheduling in MPSoCs. In DATE, pages 1659–1664, 2007.

    Google Scholar 

  75. J. Donald and M. Martonosi. Techniques for multicore thermal management: Classification and new exploration. In ISCA, pages 78–88, 2006.

    Google Scholar 

  76. A. K. Coskun et al. Temperature management in multiprocessor socs using online learning. In DAC, pages 890–893, 2008.

    Google Scholar 

  77. A. K. Coskun et al. Energy-efficient variable-flow liquid cooling in 3D stacked architectures. In DATE, pages 111–116, 2010.

    Google Scholar 

  78. Festo electric automation technology. http://www.festo-didactic.com/ov3/media/customers/1100/00966360001075223683.pdf.

  79. Y. U. Ogras, R. Marculescu, D. Marculescu, and E. G. Jung. Design and management of voltage-frequency island partitioned networks-on-chip. IEEE Transactions on VLSI, 17(3):330–341, 2009.

    Google Scholar 

  80. P. Bogdan, S. Jian, R. Tornero, and R. Marculescu. An optimal control approach to power management for multi-voltage and frequency islands multiprocessor platforms under highly variable workloads. In ISNoC, pages 35–42, 2012.

    Google Scholar 

  81. W-L. Hung, Y. Xie, N. Vijaykrishnan, M. Kandemir, and M. J. Irwin. Thermal-aware task allocation and scheduling for embedded systems. In DATE, pages 898–899, 2005.

    Google Scholar 

  82. A. K. Coskun, T. Simunic Rosing, and K. Gross. Proactive Temperature Balancing for Low-Cost Thermal Management in MPSoCs. In ICCAD, pages 250–257, 2008.

    Google Scholar 

  83. R. J. Cochran et al. Consistent Runtime Thermal Prediction and Control Through Workload Phase Detection. In DAC, pages 62–67, 2010.

    Google Scholar 

  84. Y. Zhang et al. Adaptive and Autonomous Thermal Tracking for High Performance Computing Systems. In DAC, pages 68–73, 2010.

    Google Scholar 

  85. Y. Wang et al. Temperature-constrained power control for chip multiprocessors with online model estimation. In ISCA, pages 314–324, 2009.

    Google Scholar 

  86. F. Zanini et al. Online Convex Optimization-Based Algorithm For Thermal Management of MPSoCs. In GLSVLSI, pages 203–208, 2010.

    Google Scholar 

  87. A. Bemporad et al. The explicit linear quadratic regulator for constrained systems. Automatica, 38(1):3 –20, 2002.

    Google Scholar 

  88. C. Zhu et al. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(8):1479–1492, August 2008.

    Google Scholar 

  89. X. Zhou et al. Thermal management for 3D processors via task scheduling. In ICPP, pages 115–122, 2008.

    Google Scholar 

  90. A. K. Coskun, J. Ayala, D. Atienza, T. Simunic Rosing. Modeling and Dynamic Management of 3D Multicore Systems with Liquid Cooling. In VLSI-SoC, pages 60–65, 2009.

    Google Scholar 

  91. A. K. Coskun et al. Dynamic thermal management in 3D multicore architectures. In DATE, pages 1410–1415, 2009.

    Google Scholar 

  92. T. Emi et al. Tape: Thermal-aware agent-based power economy for multi/many-core architectures. In ICCAD, pages 302 –309, 2009.

    Google Scholar 

  93. H. Qian et al. Cyber-physical thermal management of 3D multi-core cache-processor system with microfluidic cooling. ASP Journal of Low Power Electronics, 7(1):1–12, 2011.

    Google Scholar 

  94. F. Zanini, M. M. Sabry, D. Atienza, and G. De Micheli. Hierarchical thermal management policy for high-performance 3d systems with liquid cooling. IEEE JETCAS, 1(2):88–101, 2011.

    Google Scholar 

  95. F. Mulas et al. Thermal balancing policy for multiprocessor stream computing platforms. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28(12):1870–1882, 2009.

    Google Scholar 

  96. M. M. Sabry et al. Energy-Efficient Multi-Objective Thermal Control for Liquid-Cooled 3D Stacked Architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 30(12):1883–1896, 2011.

    Google Scholar 

  97. P. Greenalgh. Big.LITTLE Processing with ARM Cortex-A15 and Cortex-A7. www.arm.com/files/downloads/big.LITTLE_Final.pdf.

  98. R. G. Dreslinski et al. Near-Threshold Computing: Reclaiming Moore’s Law Through Energy Efficient Integrated Circuits. In Proc. of the IEEE, 98(2), 2010.

    Google Scholar 

  99. N. Xu et al. Thermal-Aware Post Layout Voltage-Island Generation for 3D ICs. In Journal of Computer Science and Technology, 28(4):671–681, 2013.

    Google Scholar 

  100. K. Puttaswamy and G. H. Loh. Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors. In HPCA, pages 193–204, 2007.

    Google Scholar 

  101. Y. Han et al. Temperature Aware Floorplanning. InWorkshop on Temperature Aware Computing Systems, 2005.

    Google Scholar 

  102. K. Sankaranarayanan, S. Velusamy, M. Stan, and K. Skadron. A Case for Thermal-Aware Floorplanning at the Microarchitectural Level. InJournal of Instruction-Level Parallelism, 8:1–16, 2005.

    Google Scholar 

  103. W-L. Hung et al. Thermal-Aware Floorplanning Using Genetic Algorithms. InISQED, 2005.

    Google Scholar 

  104. J. Cong, J. Wei, and Y. Zhang. A Thermal-Driven Floorplanning Algorithm for 3D-ICs. InICCAD, pages 306–313, 2004.

    Google Scholar 

  105. W.-L. Hung et al. Interconnect and Thermal-Aware Floorplanning for 3D Microprocessors. InISQED, pages 98–104, 2006.

    Google Scholar 

  106. M. Healy et al. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs. InIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(1), 2007.

    Google Scholar 

  107. M. Ekpanyapong et al. Thermal-aware 3D Microarchitectural Floorplanning. Georgia Institute of Technology, 2004.

    Google Scholar 

  108. H. Mizunuma et al. Thermal Modeling and Analysis for 3D-ICs with Integrated Microchannel Cooling. InIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 30(9):1293–1306, 2011.

    Google Scholar 

  109. M. M. Sabry et al. Greencool: An energy-efficient liquid cooling design technique for 3d mpsocs via channel width modulation. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(4):524–537, 2013.

    Google Scholar 

  110. R. Shah and A. London. Laminar flow forced convection in ducts. New York: Academic Press, 1978.

    Google Scholar 

  111. Y. Tan et al. Modeling and simulation of the lag effect in a deep reactive ion etching process. Journal of Micromechanics and Microengineering, 16, 2006.

    Google Scholar 

  112. A. Leon et al. A power-efficient high-throughput 32-thread SPARC processor. ISSCC, 42(1):7 – 16, 2007.

    Google Scholar 

  113. M. M. Sabry, A. Sridhar, and D. Atienza. Thermal balancing of liquid-cooled 3d-mpsocs using channel modulation. In DATE, 2012.

    Google Scholar 

Download references

Acknowledgment

This work has been partially supported by the Nano-Tera.ch TRANSCEND Strategic Action, the PMSM: CT Monitoring research grant for ESL-EPFL funded by Credit Suisse AG, an ERO Research Grant Donation from Oracle for ESL-EPFL, and the EC FP7 GreenDataNet STREP project (agreement No. 609000).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jungsoo Kim .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer Science+Business Media New York

About this chapter

Cite this chapter

Kim, J., Sabry, M., Ruggiero, M., Atienza, D. (2015). Power-Thermal Modeling and Control of Energy-Efficient Servers and Datacenters. In: Khan, S., Zomaya, A. (eds) Handbook on Data Centers. Springer, New York, NY. https://doi.org/10.1007/978-1-4939-2092-1_29

Download citation

  • DOI: https://doi.org/10.1007/978-1-4939-2092-1_29

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4939-2091-4

  • Online ISBN: 978-1-4939-2092-1

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics