Skip to main content

Plasma Etching Techniques to Form High-Aspect-Ratio MEMS Structures

  • Chapter
Book cover Materials & Process Integration for MEMS

Part of the book series: Microsystems ((MICT,volume 9))

  • 340 Accesses

Abstract

This chapter describes an in-situ trench etching and release technique to fabricate high aspect-ratio beams for high performance MEMS accelerometers using the Sio2 etching mask from Magnetically Enhanced Reactive Ion Etcher (MERLE). Work has started from comparison of the profiles of trenches masked by Sio2 to those masked by Si3N4 in forming MEMS structures. In our experimental conditions using HBr/SiF4/O2 in MERLE, the etching process with the Sio2 mask was proven to be able to form deeper anisotropic trenches than that with the the Si3N4 mask. Amount of oxygen available from the etching gas and/or the mask appeared to be a major factor controlling the floor etching of trenches as well as the sidewall passivation of beams. Excessive oxygen generated from the Sio2 mask led to sidewall encroachment of the beams. On the other hand, lack of oxygen in the Si3N4 mask resulted in etch-stopping and micro-grassing, before forming the high-aspect-ratio MEMS structures. In the conventional process, lateral encroachment due to the release etching was severe underneath the Sio2 mask. In the in-situ process developed in this research, the sidewall of the beams was passivated by inhibiting layers formed during the HBr/SiF4/O2 trench etching, and the beams were not attacked by the subsequent SF6 release etching. Major constituents of the passivating layers that were produced by the in-situ process were Si and O. The in-situ process dispensed with both sidewall deposition and floor etching steps of the conventional process. Dependency of etching rates on open-ratio and pattern-size was studied in MERLE for high aspect-ratio MEMS structures. The etching rates of Si substrate in MERLE remained unchanged despite a change in the overall open-ratio on the wafer in the range of 10% to 50%, and this was different from the results of an ICE etcher.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Y. X. Li, P. J. French, P. M. Sarro and R. F. Wofffenbuttel. SIMPLE — A technique of silicon micromachining using plasma etching. Sensors and Actuators, 1996; A57: 223.

    Article  CAS  Google Scholar 

  2. A. A. Ayon, X. Zhang and R. Khanna. Anisotropic silicon trenches 300–500 gm deep employing Time Multiplexed Deep Etching (TMDE). Sensors and Actuators, 2001; A91: 381.

    Article  CAS  Google Scholar 

  3. A. A. Ayon, K. Ishihara, R. A. Braff, H. H. Sawin and M. A. Schmidt. Microfabrication and testing of suspended structures compatible with silicon-on-insulator technology. J. Vac. Sci. Technol., 1999; B17: 1589.

    Article  CAS  Google Scholar 

  4. J. W. Weigold, W. H. Juan and S. W. Pang. Etching of boron diffusion of high aspect ratio Si trenches for released resonators. J. Vac. Sci. Technol., 1997; B15: 267.

    Article  CAS  Google Scholar 

  5. K. W. Kok, W. J. Yoo and K. Sooriakumar. In situ trench etching and releasing techniques of high aspect ratio beams using MERLE. J. Vac. Sci. Technol., 2002; B20: 154.

    Article  CAS  Google Scholar 

  6. K. R. Williams and R. S. Muller. Etch rates for micromachining processing. J. Microelectromechanical Systems, 1996; 5, No 4, 56.

    Article  CAS  Google Scholar 

  7. J. M. Lane, K. H. A. Bogart, F. P. Klemens and J. T. C. Lee. The role of feedgas chemistry, mask materials, and processing parameters in profile evolution during plasma etching of Si(100), J.Vac. Sci. Technol., 2000; A18: 2067.

    Google Scholar 

  8. R. F. Wolffenbuttel, J. F. L. Goosen and P. M. Sarro. Design considerations for a permanent-rotor-charge-excited micromotor with an electrostatic bearing, Sensors and Actuators, 1991; A 27: 583.

    Article  Google Scholar 

  9. K. A. Shaw, Z. L. Zhang and N. C. MacDonald. SCREAM I: a single mask, single-crystal silicon, reactive ion etching process for microelectromechanical structures, Sensors and Actuators, 1994; A 40: 63.

    Article  CAS  Google Scholar 

  10. F. Laermer and A. Schilp. Method of Anisotropically Etching Silicon,United States Patent No. 5501893.

    Google Scholar 

  11. J. Bhardwaj, H. Ashraf and A. McQuarrie. Dry silicon etching for MEMS. Proc. Third International Symposium on Microstructures and Microfabricated Systems, 4 – 9 May 1997; 118, Montreal, Canada.

    Google Scholar 

  12. S. Y. Koh, K. W. Kok, C. S. Sem, W. J. Yoo and K. Sooriakumar. Role of oxygen in etching of high-aspect-ratio deep trenches for MEMS applications. Proc. International MEMS Workshop 2001, 4th-6th July, 2001, 286, Singapore.

    Google Scholar 

  13. D. L. Flamm, Plasma Etching: An Introduction. Academic Press Inc., 1989.

    Google Scholar 

  14. C. Steinbruchel. Universal energy dependence of physical and ion-enhanced chemical etch yields at low ion energy, Appl. Phys. Lett., 1989; 55: 1960.

    Article  Google Scholar 

  15. F. H. Bell and O. Joubert. Polysilicon gate etching in high density plasmas. V. Comparison between quantitative chemical analysis of photoresist and oxide masked polysilicon gates etched in HBr/C12/02 plasmas. J. Vac. Sci. Technol., 1997; B15: 88.

    CAS  Google Scholar 

  16. G. S. Oehrlein, J. F. Rembetski and E. H. Payne. Study of sidewall passivation and microscopic silicon roughness phenomena in chlorine-based reactive ion etching of silicon trenches. J. Vac. Sci. Technol., 1990; B8: 1199.

    Article  CAS  Google Scholar 

  17. J. Karttunen, J. Kiihamaki and S. Franssila. Loading effects in deep silicon etching. Proc. SPIE, 2000; 4174: 90.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2002 Springer Science+Business Media New York

About this chapter

Cite this chapter

Yoo, W.J., Kok, K.W., Koh, S.Y. (2002). Plasma Etching Techniques to Form High-Aspect-Ratio MEMS Structures. In: Tay, F.E.H. (eds) Materials & Process Integration for MEMS. Microsystems, vol 9. Springer, Boston, MA. https://doi.org/10.1007/978-1-4757-5791-0_14

Download citation

  • DOI: https://doi.org/10.1007/978-1-4757-5791-0_14

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-5303-2

  • Online ISBN: 978-1-4757-5791-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics