Skip to main content

Multilevel Circuit Placement

  • Chapter
Multilevel Optimization in VLSICAD

Part of the book series: Combinatorial Optimization ((COOP,volume 14))

Abstract

Following logic synthesis and circuit design, an integrated circuit is represented as a collection of interconnected rectangular modules of fixed dimensions. Timing constraints on signal propagation paths along sequences of connections are also specified. The task of circuit placement is to arrange the modules inside a prescribed rectangular region such that no two modules overlap, timing constraints are satisfied, and the estimated total wirelength needed to implement the connections is minimized. Thus, an algorithm for placement derives a suitable spatial characterization of a given circuit from a logical-temporal one.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  • Alpert, C., Huang, J.-H., and Kahng, A. (1997). Multilevel circuit partitioning. In Proc. 34th IEEE/ACM Design Automation Conf.

    Google Scholar 

  • Alpert, C. J. (1998). The ISPD98 circuit benchmark suite. In Proc. Intl Symposium on Physical Design, pages 80–85.

    Google Scholar 

  • Appel, A. (1985). An efficient program for many-body simulation. SIAM J. Sci. Stat. Comp.

    Google Scholar 

  • Betz, V. and Rose, J. (1997d). VPR: A new packing, placement, and routing tool for FPGA research. In Proc. Intl. Workshop on FPL, pages 213–222.

    Google Scholar 

  • Brenner, U. and Rohe, A. (Apr 2002). An effective congestion-driven placement framework. In Proc. International Symposium on Physical Design.

    Google Scholar 

  • Breuer, M. (Oct 1977). Min-cut placement. J. Design Automat. Fault Tolerant Comp., 1(4):343–362.

    Google Scholar 

  • Caldwell, A., A.B. Kahng, and Markov, I. (2000). Improved algorithms for hypergraph partitioning. In Proc. IEEE/ACM Asia South Pacific Design Automation Conf.

    Google Scholar 

  • Chan, T., Cong, J., Kong, T., and Shinnerl, J. (Nov 2000). Multilevel optimization for large-scale circuit placement. In Proc. IEEE International Conference on Computer Aided Design, pages 171–176, San Jose, CA.

    Chapter  Google Scholar 

  • Chang, C., Cong, J., Pan, Z., and Yuan, X. (Apr 2002). Physical hierarchy generation with routing congestion control. In Proc. A CM International Symposium on Physical Design, pages 36–41, San Diego, CA.

    Google Scholar 

  • Cheng, C. and Kuh, E. (Jul 1984). Module placement based on resistive network optimization. IEEE Transactions on Computer-Aided Design, CAD-3(3).

    Google Scholar 

  • Cong, J. (2001). An interconnect-centric design flow for nanometer technologies. Proceedings of the IEEE, 89(4):505–528.

    Article  Google Scholar 

  • Cong, J., Kahng, A. B., and Leung, K. S. (1999). Efficient algorithms for the minimum shortest path steiner arborescence problem with applications to vlsi physical design. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, 17(1):24–39.

    Article  Google Scholar 

  • Cong, J. and Lim, S. (Jan 2000). Edge separability based circuit clustering with application to circuit partitioning. In Proc. Asia South Pacific Design Automation Conference, pages 429–434, Yokohama Japan.

    Google Scholar 

  • Doll, K., Johannes, F., and Antreich, K. (Oct 1994). Iterative placement improvement by network flow methods. IEEE Transactions on Computer-Aided Design, 13(10).

    Google Scholar 

  • Dunlop, A. and Kernighan, B. (Jan 1985). A procedure for placement of standard-cell vlsi circuits. IEEE Transactions on Computer-Aided Design, CAD-4(1).

    Google Scholar 

  • Eisenmann, H. and Johannes, F. M. (1998). Generic global placement and floorplanning. In Proc. 35th ACM/IEEE Design Automation Conference, pages 269–274.

    Google Scholar 

  • Fiacco, A. V. and McCormick, G. P. (1968). Nonlinear Programming: Sequential Unconstrained Minimization Techniques. John Wiley and Sons, Inc., New York, London, Sydney and Toronto.

    MATH  Google Scholar 

  • Geman, S. and Geman, D. (1984). Stochastic relaxation, gibbs distributions and the bayesian restoration of images. IEEE Transactions on Pattern Analysis and Machine Intelligence, pages 721–741.

    Google Scholar 

  • Gill, P. E., Murray, W., Saunders, M. A., Tomlin, J., and Wright, M. H. (1986). On projected Newton methods for linear programming and an equivalence to Karmarkar’s projective method. Mathematical Programming, 36:183–209.

    Article  MathSciNet  MATH  Google Scholar 

  • Gill, P. E., Murray, W., and Wright, M. H. (1981). Practical Optimization. Academic Press, London and New York. ISBN 0–12–283952–8.

    MATH  Google Scholar 

  • Goldberg, A. and Tarjan, R. (1988). A new approach to the maximum flow problem. Journal of the ACM, pages 921–940.

    Google Scholar 

  • Golub, G. H. and Van Loan, C. F. (1996). Matrix Computations. The Johns Hopkins University Press, Baltimore, Maryland, third edition.

    MATH  Google Scholar 

  • Goto, S. (1981). An efficient algorithm for the two-dimensional placement problem in electrical circuit layout. IEEE Trans. on Circuits and Systems, 28(1):12–18.

    Article  MathSciNet  Google Scholar 

  • Greengard, L. (1988). The Rapid Evaluation of Potential Fields in Particle Systems. M.I.T. Press, Cambridge, Massachusetts.

    MATH  Google Scholar 

  • Hur, S.-W. and Lillis, J. (Jun 1999) . Relaxation and clustering in a local search framework: Application to linear placement. In Proc. ACM/IEEE Design Automation Conference, pages 360–366, New Orleans, LA.

    Google Scholar 

  • Hur, S.-W. and Lillis, J. (Nov 2000) . Mongrel: Hybrid techniques for standard-cell placement. In Proc. IEEE International Conference on Computer Aided Design, pages 165–170, San Jose, CA.

    Google Scholar 

  • Karmarkar, N. (1984). A new polynomial-time algorithm for linear programming. Combinatorica, 4:373–395.

    Article  MathSciNet  MATH  Google Scholar 

  • Karypis, G., Aggarwal, R., Kumar, V., and Shekhar, S. (1997). Multilevel hypergraph partitioning: Application in vlsi domain. In Proc. 34th ACM/IEEE Design Automation Conference, pages 526–529.

    Chapter  Google Scholar 

  • Kirkpatrick, S., Jr., C. G., and Vecci, M. (1983). Optimization by simulated annealing, Science, 220:671ff.

    Google Scholar 

  • Kleinhans, J. M., Sigl, G., Johannes, F. M., and Antreich, K. J. (1991). Gordian: Vlsi placement by quadratic programming and slicing optimization. IEEE Trans. on Computer-Aided Design, CAD-10:356–365.

    Article  Google Scholar 

  • Kong, T. (Oct 2001). An adaptation of the fast multipole method to a nonanalytic potential field for overlapping disks in the plane. Report 010038, Computer Science Dept., University of California, Los Angeles.

    Google Scholar 

  • Kong, T. and Shinnerl, J. (Nov 2001). Implementation of lanczos-based preconditioned modified conjugate gradients. Report 010037, Computer Science Dept., University of California, Los Angeles.

    Google Scholar 

  • Kozminski, K. (1991). Benchmarks for layout synthesis. In Proc. 28th ACM/IEEE Design Automation Conference, pages 265–270.

    Google Scholar 

  • Lim, S. (2000). Performance-Driven Circuit Partitioning. PhD thesis, Computer Science Dept., University of California, Los Angeles.

    Google Scholar 

  • Lin, C.-J. and Moré, J. J. (1999). Incomplete cholesky factorizations with limited memory. SIAM Journal on Scientific Computing, 21(1):24–45.

    Article  MathSciNet  MATH  Google Scholar 

  • Murray, W. and Wright, M. H. (1994). Line search procedures for the logarithmic barrier function. SIAM J. on Optimization, 4, number 2:229–246.

    Article  MathSciNet  MATH  Google Scholar 

  • Nagamochi, H. and Ibaraki, T. (1992). Computing edge connectivity in multigraphs and capacitated graphs. SIAM Journal on Discrete Math., pages 54–66.

    Google Scholar 

  • Nash, S. G. (1984). Newton-type minimization via the Lanczos method. SIAM J. on Numerical Analysis, 21:770–788.

    Article  MathSciNet  MATH  Google Scholar 

  • Quinn, N. and Breuer, M. (1979). A force-directed component placement procedure for printed circuit boards. IEEE Trans. on Circuits and Systems CAS, CAS-26:377–388.

    Article  MATH  Google Scholar 

  • Saad, Y. (1996). Iterative methods for sparse linear systems. PWS publishing, Pacific Grove, California.

    MATH  Google Scholar 

  • Sankar, Y. and Rose, J. (1999). Trading quality for compile time: Ultrafast placement for FPGAs. In FPGA ’99, ACM Symp. on FPGAs, pages 157–166.

    Google Scholar 

  • Sechen, C. (1988). VLSI Placement and Global Routing Using Simulated Annealing. Kluwer Academic Publishers.

    Book  Google Scholar 

  • Sigl, G., Doll, K., and Johannes, F. M. (1991). Analytical placement: A linear or a quadratic objective function? In Proc. 28th ACM/IEEE Design Automation Conference, pages 427–432.

    Google Scholar 

  • Stander, J. and Silverman, B. (1994). Temperature schedules for simulated annealing. Statistics and Computing, pages 21–32.

    Google Scholar 

  • Sun, W.-J. and Sechen, C. (Mar 1995). Efficient and effective placement for very large circuits. IEEE Trans. on Computer-Aided Design, pages 349–359.

    Google Scholar 

  • Swartz, W. (2002). http://www.internetcad.com.

    Google Scholar 

  • Tsay, R., Kuh, E., and Hsu, C. (1988a). Proud: A fast sea-of-gates placement algorithm. IEEE Design and Test of Computers, pages 44—56.

    Google Scholar 

  • Tsay, R. and Kuh, E. S. (1991). A unified approach to partitioning and placement. IEEE Trans. Circuits and Systems, 38(5):521–533.

    Article  Google Scholar 

  • Tsay, R., Kuh, E. S., and Hsu, C. (1988b). Proud: A sea-of-gates placement algorithm. IEEE Design and Test of Computers, 12:44–56.

    Google Scholar 

  • Vygen, J. (1997). Algorithms for large-scale flat placement. In Proc. 34th ACM/IEEE Design Automation Conference, pages 746–751.

    Chapter  Google Scholar 

  • Wang, M., Yang, X., and Sarrafzadeh, M. (Apr 2000). Dragon2000: Fast standard-cell placement for large circuits. Proc. IEEE/A CM International Conference on Computer-Aided Design, pages 260–263.

    Google Scholar 

  • Yang, X. (2002). Congestion and Timing Optimization for Standard Cell Placement. PhD thesis, Computer Science Dept., University of California, Los Angeles.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer Science+Business Media Dordrecht

About this chapter

Cite this chapter

Chan, T.F., Cong, J., Kong, T.T., Shinnerl, J.R. (2003). Multilevel Circuit Placement. In: Cong, J., Shinnerl, J.R. (eds) Multilevel Optimization in VLSICAD. Combinatorial Optimization, vol 14. Springer, Boston, MA. https://doi.org/10.1007/978-1-4757-3748-6_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4757-3748-6_4

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4419-5240-0

  • Online ISBN: 978-1-4757-3748-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics