Skip to main content
  • 831 Accesses

Abstract

The controlled deposition of thin organic and inorganic films is an important step in the manufacture of integrated circuits. These films are deposited to remain as an inherent part of the device structure (Fig. 3.1), or to constitute intermediate layers that are used for particular processing steps and then removed. The methods for the deposition of thin films fall into three broad categories: chemical vapor deposition (CVD), physical vapor deposition (PVD), and overlapping techniques which combine both physical and chemical processes [1,2]. This chapter discusses the deposition of single crystal silicon, polysilicon, silicon dioxide, silicon nitride, and gallium arsenide. The deposition of metals and metal compounds is discussed in Chap. 8.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. J. L. Vossen and W. Kern, Eds., Thin Film Processes, Academic Press, New York, 1978.

    Google Scholar 

  2. K. K. Schuegraf, Ed, Handbook of Thin-Film Deposition Processes and Techniques, Noyes Publications, N. J., 1988.

    Google Scholar 

  3. W. Kern and G. L. Schnable, “Low-Pressure Chemical Vapor Deposition for Very Large-Scale Integration Processing-A Review,” IEEE Trans. Electron Dev. ED-26, 647 (1979).

    Google Scholar 

  4. H. Theuerer, J. J. Kleimack, H. H. Loar, and H. Christensen, “Epitaxial Diffused Transistors,” Proc. IRE, 48, 1642 (1960).

    Google Scholar 

  5. H. Nelson, “Epitaxial Growth from the liquid State and its Application to the Fabrication of Tunnel and Laser Diodes,” RCA Review, 24, 603 (1963).

    Google Scholar 

  6. I. K. Bonsai, “Autodoping and Paniculate Contaminations during Pre-Diffusion Chemical Cleaning of Silicon Wafers,” Solid-State Technology, p. 75, July 1986.

    Google Scholar 

  7. G. R. Srinivasan and B. S. Meyerson, “Current Status of Reduced Temperature Silicon Epitaxy by Chemical Vapor Deposition,” J. Electrochem. Soc., 134, 1518 (1987).

    Google Scholar 

  8. L. E. Katz and D. W. Hill “High Oxygen Czochratki Silicon Crystal Growth Relationship to Epitaxial Stacking Faults,” J. Electrochem. Soc., Vol 125, 1151 (1978).

    Google Scholar 

  9. J. Borland, M. Gangani, R. Wise, S. Fong, Y. Oka, and Y. Matsumoto, “Silicon Epitaxial Growth for Advanced Device Structures,” Solid State Technology, 111, January 1988.

    Google Scholar 

  10. J. Bloem, “Silicon Epitaxy from Mixtures of SiH4 and HCl,” J. Electrochem. Soc., 117, 1397 (1970).

    Google Scholar 

  11. J. Nishizawa and M. S aito, “Growth Mechanisms of Chemical Vapor Deposition of Silicon,” Proceedings of the 8th International Conference on Chemical Vapor Deposition, Electrochem. Soc., p. 317, 1981.

    Google Scholar 

  12. A. Lekholm, “Epitaxial Growth of Silicon from Dichlorosilane,” J. Electrochem-Soc., 120, 1122 (1973).

    Google Scholar 

  13. M. L. Hammond, “Silicon Epitaxy,” Solid State Technology, p. 68, Nov. 1978.

    Google Scholar 

  14. W. Pearce, “Epitaxy,” in S. M. Sze, ed, VLSI Technology, McGraw-Hill, 1983.

    Google Scholar 

  15. S. E. Meyer and D. E. Shea, “Epitaxial Deposition of Silicon Layers by Pyrolisis of Silane,” J. Electrochem-Soc., 111, 550 (1964).

    Google Scholar 

  16. E. Sirtl, L. P. Hunt, and D. H. Sawyer, “High Temperature Reactions in Silicon-Hydrogen-Chlorine Systems,” J. Electrochem-Soc., 121, 919, (1974).

    Google Scholar 

  17. F. C. Eversteyn, “Chemical-Reaction Engineering in the Semiconductor Industry,” Philips Res. Rep., 29, 45 (1974).

    Google Scholar 

  18. McD. Robinson, in F. F. Wang, Ed, Impurity Doping Processes in Silicon, North-Holland, Amsterdam, 1981.

    Google Scholar 

  19. R. Reif, T. I. Kamins, and K. C. Saraswat, “A Model for Dopant Incorporation into Growing Silicon Epitaxial Films,” J. Electrochem-Soc., 126, 644/653 (1979).

    Google Scholar 

  20. J. Bloem, “The Effect of Trace Amounts of Water Vapor on Boron Doping in Epitaxially Grown Silicon,” J. Electrochem. Soc., 118, 1839 (1971).

    Google Scholar 

  21. H. Basseches, R. C. Manz, C. O. Thomas, and S. K. Tung, “Factors Affecting the Resistivity of Silicon Epitaxial Layers,” p. 69 in J. B. Schroeder, Ed., Proceedings of the 1961 Semiconductor Metallurgy Conference, Interscience, New York, 1962.

    Google Scholar 

  22. H. B. Pogge, D. W. Bass, and E. Ebert, Proc. Conf. on Chemical Vapor Deposition, 2nd Intnl. Conf., J. M. Blocher and J. Withers, Eds., p. 768, Electrochem. Soc., N.Y. 1972.

    Google Scholar 

  23. T. Ishii, K. Takahashi, A. Kondo, and K. Shirakata, “Silicon Epitaxial Wafer with Abrupt Interface by Two-Step Epitaxial Growth Technique,” J. Electrochem. Soc., 122, 1523 (1975).

    Google Scholar 

  24. D. Gupta and R. Yee, “Silicon Epitaxial Layers with Abrupt Interface Impurity Profiles,” J. Electrochem. Soc., 116, 1561 (1969)

    Google Scholar 

  25. O. Thomas, D. Kahng, and R. Manz, “Impurity Distribution in Epitaxial Films,” J. Electrochem. Soc., 109, 1055 (1962).

    Google Scholar 

  26. A. S. Grove, A. Roder, and C. T. San, “Impurity Distribution in Epitaxial Growth,” J. Appl. Phys., 36, 802 (1965).

    Google Scholar 

  27. G. R. Srinivasan, “Autodoping Effects in Silicon Epitaxy,” J. Electrochem. Soc., 127, 1334 (1980)

    Google Scholar 

  28. B. D. Joyce, J. C. Weaver, and D. J. Maule, “Impurity Redistribution Processes in Epitaxial Silicon Layers,” J. Electrochem. Soc., 112, 1100 (1965).

    Google Scholar 

  29. W. H. Shepherd, “Autodoping of Epitaxial Silicon,” J. Electrochem. Soc., 115, 652 (1968).

    Google Scholar 

  30. P. H. Langer and J. I. Goldstein, “Boron Autodoping during Silane Epitaxy,” J. Electrochem. Soc., 124, 592 (1977).

    Google Scholar 

  31. G. Skelly and A. C. Adams, “Impurity Atom Transfer During Epitaxial Deposition on Silicon,” J. Electrochem. Soc., 120, 116 (1973).

    Google Scholar 

  32. G. R. Srinivasan, “Kinetics of Lateral Autodoping in Silicon Epitaxy,” J. Electrochem. Soc., 125, 146 (1978).

    Google Scholar 

  33. C. O. Bozler, “Reduction of Autodoping,” J. Electrochem. Soc., 122, 1705 (1975).

    Google Scholar 

  34. M. Ogirima, H. Sarda, M. Suzuki, and M. Maki, “Low Pressure Silicon Epitaxy,” J. Electrochem. Soc., 124, 903 (1977).

    Google Scholar 

  35. M. J-P. Duchemin, M. M. Bonnet, and M. F. Koelsch, “Kinetics of Silicon Growth Under Low Hydrogen Pressure,” J. Electrochem. Soc., 125, 637 (1978).

    Google Scholar 

  36. E. Krullman and W. L. Engel, “Low Pressure Silicon Epitaxy,” IEEE Trans. Electron. Dev., ED-29, 491 (1982).

    Google Scholar 

  37. C. M. Drum and C. A. Clark, “Geometrical Stability of Shallow Surface Depressions During Growth of (111) and (100) Epitaxial Silicon,” J. Electrochem. Soc., 115, 664 (1968).

    Google Scholar 

  38. C. M. Drum and C. A. Clark, “Anisotropy of Macrostep Motion and Pattern Edge-Displacement During Growth of Epitaxial Silicon on Silicon Near 100,” J. Electrochem. Soc., 117, 1401 (1970).

    Google Scholar 

  39. S. P. Weeks, “Pattern Shift and Pattern Distortion During CVD Epitaxy on (111) and (100) Silicon,” Solid State Technology, p. 111, Nov. 1981.

    Google Scholar 

  40. R. B. Herring, “Advances in Reduced Pressure Silicon Epitaxy,” Solid State Technology, 22, 75 (1979).

    Google Scholar 

  41. P. Rai-Choudhoury, “Epitaxial Gallium Arsenide from Trlmethygallium and Arsine,” J. Electrochem Soc., 116, 1745 (1969).

    Google Scholar 

  42. H. M. Manasevit, and W. I. Simpson, “The Use of Metalorganics in the Preparation of Semiconductor Materials,” J. Electrochem. Soc., 116, 1725 (1969).

    Google Scholar 

  43. M. L. Ludowise, “Metalorganic Chemical Vapor Deposition of III-V Semiconductors,” J. Appl Phys., 58, R31, Oct. 1985.

    Google Scholar 

  44. G. B. Stringfellow, in “Organometallic vapor Phase Epitaxy,” Academic Press, Boston, 1989.

    Google Scholar 

  45. F. Faggin and T. Klein, “Silicon Gate Technology,” Solid State Electronics, 13, 1125 (1970).

    Google Scholar 

  46. G. L. Patton, J. Bravman, J. D. Plummer, “Physics, Technology, and Modeling of Polysilicon Emitter Contacts for VLSI Bipolar Transistors,” IEEE Trans. Electron Devices, ED-33, 1754 (1986).

    Google Scholar 

  47. V. Probst, H. J. Boehm, H. Schaber, H. Oppolzer, and I. Weitzel, “Analysis of Polysilicon Diffusion Sources,” J. Electrochem. Soc., 135, 671 (1988).

    Google Scholar 

  48. J. Graul, A. Glasl, and H. Murrmann, “High-Performance Transistors with Arsenic-Implanted Polysil Emitters,” IEEE J. Solid State Circuits, SC-11, 491 (1976).

    Google Scholar 

  49. J. M. C. Stork, M. Arienzo, and C. Y. Wong, “Correlation Between the Diffusive and Electrical Barrier Properties of the Interface in Polysilicon Contacted n +-p Junctions,” IEEE Trans. Electron Devices, ED-32, 1766 (1985).

    Google Scholar 

  50. T. H. Ning and R. D. Isaac, “Effect of Emitter Contact on Current Gain of Silicon Bipolar Devices,” IEEE Trans. Electron Devices, ED-27, 2051 (1980).

    Google Scholar 

  51. K. Tsukamoto, Y. Akasaka, and K. Hone, “Arsenic Implantation into Polycrystalline Silicon and Diffusion to Silicon Substrate,” J. Appl. Phys., 48, 1815 (1977).

    Google Scholar 

  52. P. Ashburn and B. Soerowirdjo, “Comparison of Experimental and Theoretical Results on Polysilicon Emitter Bipolar Transistor,” IEEE Trans. Electron Devices, ED-31, 853 (1984).

    Google Scholar 

  53. A. K. Kapoor and D. J. Roulston, Eds., Polysilicon Emitter Bipolar Transistors, IEEE Press, N. Y. 1989.

    Google Scholar 

  54. W. T Lynch and V. Frederick, “Fabrication of FETs with Source and Drain Contacts Aligned with the Gate Electrode,” U.S. Patent 4,822,754, April 18, 1989.

    Google Scholar 

  55. K. Kato, T. Ono, and Y. Amemiya, “A Physical Mechanism of Current-Induced Resistance Decrease in Heavily Doped Polysilicon Resistors,” IEEE Trans. Electron Devices, ED-29, 1156(1982).

    Google Scholar 

  56. K. Kato, T. Ono, Y. Amemiya, “A Monolithic 14 Bit D/A Converter Fabricated with a New Trimming Technique (DOT),” IEEE J. Solid-State Circuits, SC-19, 802 (1984).

    Google Scholar 

  57. N. Hoshi, S. Kayama, T. Nishihara, J.-I Aoyama, T. Kamatsu, and T. Shihada, “1.0 μm CMOS Process for Highly Stable Terra-Ohm Polysilicon Load 1Mb SRAM,” IEDM 1986 Technical Digest, p. 300, 1986.

    Google Scholar 

  58. J. E. Suarez, B. E. Johnson, and B. El-Kareh, “Thermal Stability of Polysillcon Resistors,” IEEE Trans. mand Manufacturing Technology, 15(3) June 1992.

    Google Scholar 

  59. C. Kaya, H. Tigelar, J. Paterson, M. de Wit, J. Fattruso, R. Hester, S. Kiriakai, K. S. Tan, and F. Tsay, “Polycide/Metal Capacitors for High Precision A/D Converters,” IEDM 1988 Technical Digest, p. 782.

    Google Scholar 

  60. T-I Liou and C-S Teng, “n +-Poty-to-n +-Silicon Capacitor Structures for Single-Poly Analog CMOS and BiCMOS Processes,” IEEE Trans. Electron Devices, ED-36, 1620 (1989).

    Google Scholar 

  61. S. A. StOnge, S. G. Franz, A. F. Puttlitz, A. Kalinoski, B. E. Johnson, and B. El-Kareh, “Design of Precision Capacitors for Analog Applications,” Elect mand Tech. Confer., San Diego, May 1992.

    Google Scholar 

  62. N. Yamauchi, J-J.J. Hajjar, and R. Reif, “Drastically Improved Performance in Poly-Si TFTs with Channel Dimensions Comparable to Grain Size,” IEDM 1989 Technical Digest, p. 353.

    Google Scholar 

  63. R. A. Martin, M. Hack, J. G. Shaw, and M. Shur, “Intrinsic Capacitance of Amorphous Silicon and Polysilicon Thin Film Transistors,” IEDM 1989 Technical Digest, p. 361.

    Google Scholar 

  64. C. H. Seager, “Grain Boundaries in Polycrystalline Silicon,” Ann. Rev. Mater. Sci., 15, 271 (1985).

    Google Scholar 

  65. R. S. Rosier, “Low Pressure CVD Production Processes for Poly, Nitride, and Oxide,” Solid Sate Technology, 20, 63, April 1977.

    Google Scholar 

  66. W. A. Brown and T. I. Kamins, “An Analysis of LPCVD System Parameters for Polysilicon, Silicon Nitride and Silicon Dioxide Deposition,” Solid State Technology, 22, 51, July 1979.

    Google Scholar 

  67. M. E. Cowher and T. O. Sedgwick, “Chemical Vapor Deposited PotycrystaUine Silicon,” J. Electrochem. Soc., 119, 1565 (1972).

    Google Scholar 

  68. T. I. Kamins, M. M. Mandurah, and K. C. Saraswat, “Structure and Stability of Low Pressure Chemically Vapor-Deposited Silicon Films,” J. Electrochem. Soc., 125, 927 (1978).

    Google Scholar 

  69. T. I. Kamins, “Structure and Properties of LPCVD Silicon Films,” J. Electrochem. Soc., 127, 686 (1980).

    Google Scholar 

  70. S. J. Krause, S. R. Wilson, W. M. Paulson, and R. B. Gegory, “Grain Growth During Transient Annealing of As-Implanted PotycrystaUine Silicon Films,” Appl. Phys. Lett, 45, 778 (1984).

    Google Scholar 

  71. L. R. Zheng, L. S. Hung, and J. W. Mayer, “Grain Growth in Arsenic-Implanted PolycrystalUne Si,” Appl. Phys. Lett, 51, 2139 (1987).

    Google Scholar 

  72. A. L. Fripp and L. H. Slack, “Resistivity of Doped PotycrystaUine Silicon Films,” J. Electrochem. Soc., 120, 145 (1973).

    Google Scholar 

  73. J. Y. W. Seto, “The Electrical Properties of PotycrystaUine Silicon Films,” J. Appl. Phys., 46, 5247 (1975).

    Google Scholar 

  74. D. M. Kim, A. N. Khondker, S. S. Ahmed, and R. R. Shah, “Theory of Conduction in Potysilicon: Drift-Diffusion Approach in Crystallin-Amorphous-CrystalUne Semiconductor System-Part I: Small Signal Theory,” IEEE Trans. Electron Devices, ED-31, 480 (1984); “Part II: General I-V Theory,” ibid, p. 493.

    Google Scholar 

  75. M. M. Mandurah, KSaraswat and T. I. Kamins, “A Model for Conduction in PotycrystaUine Silicon ”-Part I: Theory“, IEEE Trans. Electron Dev. ED-28, 1163 (1981); “Part II: Comparison of Theory and Experiment,” ibid, p. 1171.

    Google Scholar 

  76. M. M. Manduhrah, K. C. Saraswat, and T. I. Kamins, “Phosphorus Doping of Low Pressure Chemically Vapor-Deposited Silicon Films,” J. Electrochem. Soc., 126, 1019 (1979).

    Google Scholar 

  77. A. G. O’Neill, C. Hill, J. King, and C. Pease, “A New Model for the Diffusion of Arsenic in PotycrystaUine Silicon,” J. Appl. Phys., 64, 167 (1988).

    Google Scholar 

  78. T. I. Kamins, J. Manoliu, and R. N. Tucker, “Diffusion of Impurities in PotycrystaUine Silicon,” J. Appl. Phys, 43, 83 (1972).

    Google Scholar 

  79. D. J. Coe, “The Lateral Diffusion of Boron in PotycrystaUine Silicon and its Influence on the Fabrication of Submicron MOSTs,” Solid State Electronics, 20, 985 (1977).

    Google Scholar 

  80. M. M. Mandurah, K. C. Saraswat, C. R. Helms, and T. I. Kamins, “Dopant Segregation in Polycrystalline Silicon,” J. Appl. Phys., 51, 5755 (1980).

    Google Scholar 

  81. C. R. M. Governor, P. E. Batson, D. A. Smith, and C. Wong, “As Segregation to Grain Boundaries in Si,” Philosophical Magazine A, 50, 409 (1984).

    Google Scholar 

  82. R. C. Cammarata, C. V. Thompson, and S. M. Garrison, “Secondary Grain Growth During Rapid Thermal Annealing of Doped Polysilicon Films,” Mat Res. Soc. Symp. Proc. 92, 335 (1987).

    Google Scholar 

  83. Z-H Zhou, F. Yu, and R. Reif, “A Multichamber Single-Wafer Chemical Vapor Deposition Reactor and Electron Cyclotron Resonance Plasma for Flexible Integrated Circuit Manufacturing,” J. Vac. Sci TechnoL, B9, 374 (1991).

    Google Scholar 

  84. M Sternheim, E. Kinsbron, J. Alspector, and P. A. Heimann, “Properties of Thermal Oxides Grown on Phosphorus In-Situ Doped Pofysilicon,” J. Electrochem. Soc., 130, 1735 (1983).

    Google Scholar 

  85. C. P. Ho and S. E. Hansen, Technical Report N0 SEL 83-001, Stanford University, Stanford, California.

    Google Scholar 

  86. T. I. Kamins, “Oxidation of Phosphorus-Doped Low Pressure and Atmospheric Pressure CVD Polycrystalline-Silicon Films,” J. Electrochem. Soc., 126 838 (1979).

    Google Scholar 

  87. E. A. Irene, E. Tierney and D. W. Dong, “Silicon Oxidation Studies: Morphological Aspects of the Oxidation of Pofycrystalline Silicon,” J. Electrochem-Soc., 127, 705 (1980).

    Google Scholar 

  88. L. Faraone and G. Harbeke, “Surface Roughness and Electrical Conduction of Oxide/Pofysillcon Interfaces,” J. Electrochem. Soc., 133, 1410 (1986).

    Google Scholar 

  89. D. J. DiMaria and D. R. Kerr, “Interface Effects and High Conductivity in Oxide Grown from PofycrystaUine Silicon,” Appl. Phys. Lett., 27(9), 505 (1975).

    Google Scholar 

  90. R. M. Anderson and D. R. Kerr, “Evidence of Surface Asperity Mechanism of Conductivity in Oxide Grown on PofycrystaUine Silicon,” J. Appl. Phys., 48(11), 1834 (1977).

    Google Scholar 

  91. B. D. Joyce and J. A. Baldrey, “Selective Epitaxial Deposition of Silicon,” Nature, 195, 485 (1962).

    Google Scholar 

  92. J. O. Borland, “Novel Device Structures by Selective Epitaxial Growth (SEG),” IEDM 1987 Technical Digest, p. 12.

    Google Scholar 

  93. R. T. Bates, “Nanoelectronics,” Solid State Technology, 32, 101 (1989).

    Google Scholar 

  94. K. Tanno, N. Endo, H. Kitajima, Y. Korugi, and H. Tsuya, “Selective Silicon Epitaxy Using Reduced Pressure Technique,” Jap. J. Appl. Phys., 21, L564 (1985).

    Google Scholar 

  95. J. O. Borland and C. I. Drowley, “Advanced Dielectric Isolation Through Selective Epitaxial Growth Techniques,” Solid State Technology, 28(8) 141, (1985).

    Google Scholar 

  96. H. Voss and H. Kurten, “Device Isolation Technology by Selective Low-Pressure Silicon Epitaxy,” IEDM 1983 Technical Digest, p. 35, 1983.

    Google Scholar 

  97. H. Pagliaro, J. Corboy, L. Jastrzebski, and R. Soydan, “Uniformfy Thick Selective Epitaxial Silicon,” J. Electrochem. Soc., 134, 1235 (1987).

    Google Scholar 

  98. S. Nagao, K. Higashitani, Y. Akasaka, and H. Nakata, “Application of Selective Silicon Epitaxial Growth for CMOS Technology,” IEEE Trans. Electron Devices, ED-33, 1738 (1986).

    Google Scholar 

  99. L. Jastrzebski, A. C. Ipri, and J. F. Corboy, “Device Characterization on Monocrystalline Silicon Grown over S1O2 by the (ELO) Epitaxial Lateral Overgrowth Process,” IEEE Electron Dev. Lett., EDL-4, 32 (1983).

    Google Scholar 

  100. G. W. Neudeck, “A New Epitaxial Lateral Overgrowth Silicon Bipolar Transistor,” IEEE Electron Dev. Lett, EDL-8, 492 (1987).

    Google Scholar 

  101. J. L. Regolini, D. Bensahel, E. Scheid, and J. Mercier, “Selective Epitaxial Silicon Growth in the 650-1100 °C Range in a Reduced Pressure Chemical Vapor Deposition Reactor Using Dichhrosilane,” Appl. Phys. Lett, 54, 658 (1989).

    Google Scholar 

  102. L. Jastrzebski, J. F. Corboy, J. T. McGinn, and R. Pagliaro, Jr., “Growth Process of Silicon over SiO2 by CVD: Epitaxial Overgrowth technique,” J. Electrochem. Soc., 130, 1571 (1983).

    Google Scholar 

  103. J.-C. Lou, C. Galewski, and W. G. Oldham, “Dichhrosilane Effects on Low-Temperature Selective Silicon Epitaxy,” Appl Phys. Lett, 58, 59 (1991).

    Google Scholar 

  104. B. S. Meyerson, “Low Temperature Silicon Epitaxy by Ultrahigh Vacuum /Chemical Vapor Deposition,” Phys. Lett, 48, 797 (1986).

    Google Scholar 

  105. T. O. Sedgewick, P. D. Agnello, M. Berkenblit, and T. S. Kuan, “Growth of Facet-Free Selective Silicon Epitaxy at Low Temperature and Atmodpheric Pressure,” J. Electrochem. Soc., 138, 3042 (1991).

    Google Scholar 

  106. P. R. Choudhury and D. K. Schroder, “Selective Growth of Epitaxial Silicon and Gallium Arsenide,” J. Electrochem. Soc., 118, 106 (1971).

    Google Scholar 

  107. J. Murota, N. Nakamura, M. Kato, and N. Makoshiba, “Low Temperature Silicon Selective Deposition and Epitaxy on Silicon Using the Thermal Decomposition of Sitane Under Ultra-Clean Environment,” Appl. Phys. Lett, 54, 1007, (1989).

    Google Scholar 

  108. M. Kato, T. Sato, J. Murota, and M. Mikoshiba, “Nucleation Control of Silicon on Silicon Oxide for Low-Temperature CVD and Silicon Selective Epitaxy,” J. Crystal Growth, 99, 240 (1990).

    Google Scholar 

  109. M. Racanelli and D. W. Greve, “Low-Temperature Selective Epitaxy by Ultrahigh Vacuum Chemical Vapor Deposition from SiH4 and GeH4/H2,” Appl. Phys. Lett, 58, 2096 (1991).

    Google Scholar 

  110. P. M. Garone, J. C. Sturm, and P. V. Schwartz, “Silicon Vapor Epitaxial Growth Catalysis by the Presence of Germane,” Appl. Phys. Lett, 56, 1275 (1990).

    Google Scholar 

  111. M. Kato, C. Iwasaki, J. Murota, N. Mikoshiba, and S. Ono, “Nucleation Control of Silicon-Germanium on Silicon-Oxide for Selective Epitaxy and Polysilicon Formation in Ultraclean Low-Pressure CVD,” Extended Abstracts of the 22nd Conf. Solid State Dev. and Mater., Sendai, p. 329, 1990.

    Google Scholar 

  112. K. D. Beyer, V. J. Silvestri, J. S. Markis, and W. Guthrie, “Trench Isolation by Selective Epitaxy and CVD Oxide Cap,” J. Electrochem. Soc., 137, 3951 (1990).

    Google Scholar 

  113. L. Jastrzebski, “SOI by CVD: Epitaxial Lateral Overgrowth (ELO) Process-Review,” J. Crystal Growth 63, 493 (1983).

    Google Scholar 

  114. T. I. Kamins and D. R. Bradbury, “Trench-Isolated Transistors in Lateral CVD Epitaxial Stlicon-on-Insulator Films,” IEEE Electron Device Lett, EDL-5, 449 (1984).

    Google Scholar 

  115. A. Ishitani, H. Hitajima, K. Tanno, H. Tsuya, N. Endo, N. Kasai, and Y. Kurogi, “Selective Silicon Epitaxial Growth for Device-Isolation Technology,” Microelectronic Eng., 4, 3 (1986)

    Google Scholar 

  116. S. Hine, S. Nagao, N. Tsubouchi, and H. Nakata, “Solid State Color Imager with Buried Oxide Wall Fabricated by Low Pressure Selective Epitaxy,” IEDM 1984 Technical Digest, p. 36.

    Google Scholar 

  117. J. Manoliu and J. O. Borland, “A Submicron Dual Buried Layer Twin Well CMOS SEG Process,” IEDM 1987 Tech. Dig., p. 20.

    Google Scholar 

  118. P. V. Gilbert, G. W. Neudeck, J. P. Denton, and S. J. Duey, “Quasi-Dielectrically Isolated Bipolar Junction Transistors with Subcollector Fabricated Using Silicon Selective Epitaxy,” IEEE Trans. Electron Dev., ED-38, 1660 (1991).

    Google Scholar 

  119. M. Aoki, H. Takato, S. Samata, M. Numano, A. Yagishita, K. Hieda, A. Nitayama, and F. Horiguchi, “Quarter-Micron Selective-Epitaxial-Slllcon Refilled Tranch (SRT) Isolation Technology with Substrate Shield,” IEDM 1991 Tech. Dig., p. 447.

    Google Scholar 

  120. T.-Y Chiu, K. F. Lee, M. Y. Lau, S. N. Finega, M. D. Morris, and A. Voshchenkov, “Suppression of Lateral Autodoping from Arsenic Buried Layer by Selective Epitaxial Capping,” IEEE Electron Dev. Lett, 11, 123 (1990).

    Google Scholar 

  121. G. G. Shahidi, D. D. Tang, B. Davari, Y. Taur, P. McFarland, K. Jenkins, D. Danner, M. Rodriguez, A. Megdanis, E. Petrillo, M. Polcan, and T. H. Ning, “A Novel High-Performance Lateral Bipolar on SOI,” IEDM 1991 Tech. Dig., p. 663, 1991.

    Google Scholar 

  122. J. Borland, D. Schmidt, and A. Stivers, “Low Temperature Low Pressure Silicon Epitaxial Growth and its Application to Advanced Dielectric Isolation Technology,” Extended Abstracts of the 18th Conference on Solid State Devices and Materials, Tokyo, Japan, p. 53, Aug. 1986.

    Google Scholar 

  123. G. Shahidi, B. Davari, Y. Taur, J. Warnock, M. R. Wordeman, P. McFarland, S. Mader, M. Rodriguez, R. Assenza, G. Bronner, B. Ginsberg, T. Lii, M. Polcari, and T. H. Ning, “Fabrication of CMOS on Ultrathin SOI Obtained by Epitaxial Lateral Overgrowth and Chemical-Mechanical Polishing,” IEDM 1990 Tech. Dig., p. 587.

    Google Scholar 

  124. H. Shibata, Y. Suizu, S. Samata, T. Matasuno, and K. Hashimoto, “High-Performance Half-Micron PMOSFETs with 0.1 μm Shallow P+N Junction Utilizing Selective Silicon Growth and Rapid Thermal Annealing,” IEDM 1987 Tech-Digest, p. 590.

    Google Scholar 

  125. T. Yamada, S. S amata, H. Takato, Y. Matsuchita, Heida, A. Nitayama, F. Horiguchi, and F. Masuoka, “A New Cell Structure with a Spread Source/Drain (SSD) MOSFET and a Cylindrical Capacito for 64-Mb DRA Ms,” IEEE Trans. Electron Dev., ED-38, 2481 (1991).

    Google Scholar 

  126. H. Shibata, S. Samata, M. Saitoh, T. Matsuno, H. Sasaki, Y. Matsuchita, K. Hashimoto, and J. Matsunaga, “Low-Resistive and Selective Silicon Growth as a Self-Aligned Contact-Hole Filler and its Application to 1 M-bit Static RAM,” VLSI Symp. Techn., 1987 Tech. Digest, p. 75.

    Google Scholar 

  127. J. A. Friedrick and G. W. Neudeck, “Oxide Degradation During Selective Epitaxial Growth of Silicon,” J. Appl. Phys., 64, 3538 (1988).

    Google Scholar 

  128. K. Hoffmann, G. W. Rubloff, and R. A. McCorkle, “Defect Formation in Thermal SiO2 by High-Temperature Annealing,” Appl Phys. Lett, 49, 1525 (1986).

    Google Scholar 

  129. K. Hoffmann, G. W. Rubloff, and D. R. Young, “Role of Oxygen in Defect-Related Breakdown in Thin SiO2 Films on Si,” J. Appl. Phys., 61, 4584 (1987).

    Google Scholar 

  130. J. M. Eldridge and P. Balk, “Formation of Phosphosilicate Glass on Silicon Dioxide,” Trans. MetaL Soc. of AIME, 242, 539 (1968).

    Google Scholar 

  131. K. Massau, R. A. Levy and D. L. Chadwick, “Modified PhosphosiUcate Glasses for VLSI Applications,” J. Electrochem. Soc., 132, 409 (1985).

    Google Scholar 

  132. D. R. Kerr, J. S. Logan, P. J. Burkhardt and W. A. Pliskin, “Stabilization of SiO2 Passivation Layers with P2O5,” IBM J. Res. Dev. 9, 376 (1964).

    Google Scholar 

  133. P. Balk and J. M. Eldridge, “PhosphosiUcate Glass Stabilization of FET Devices,” Proceedings of the IEEE, 57, 1553 (1969).

    Google Scholar 

  134. A. C. Adams and C. D. Capio, “Planarization of Phosphorus-Doped Silicon Dioxide,” J. Electrochem. Soc., 128, 423 (1981).

    Google Scholar 

  135. W. Kern and R. S. Rosier, “Advances in Deposition Processes for Passivation Films,” J. Vac. Sci. Technol., 14, 1082 (1977).

    Google Scholar 

  136. P. J. Tobin, J. B. Price, and L. M. Campbell, “Gas Phase Composition in the Low Pressure Chemical Vapor Deposition of Silicon Dioxide,” J. Electrochem. Soc. 127, 2222 (1980)

    Google Scholar 

  137. E. A. Irene, N. J. Chou, D. W. Dong, and E. Tierney, “On the Nature of CVD Si-Rich SiO2 and Si3N4 Films,” J. Electrochem. Soc., 127(11), 2518 (1980).

    Google Scholar 

  138. C. M Giunta, J. D. Chapple-Sokol, and R. G. Gordon, “Kinetic Modeling of the Chemical Vapor Deposition of Silicon Dioxide from Silane or Disilane and Nitrous Oxide,” J. Electrochem. Soc., 137(10), 3237 (1990).

    Google Scholar 

  139. A. C. Adams and D. Capio, “The Deposition of Silicon Dioxide Films at Reduced Pressure,” J. Electrochem. Soc., 126, 1042 (1979).

    Google Scholar 

  140. H. Huppertz and W. L. Engl, “Modeling of Low-Pressure Deposition of SiO2 by Decomposition of TEOS,” IEEE Trans. Electron Devices, ED-26, 658 (1979).

    Google Scholar 

  141. A. C. Adams, “Dielectric and Polysillcon Film Deposition,” in VLSI Technology, S. M. Sze, Ed., Mc Graw Hill, New York, 1983.

    Google Scholar 

  142. R. H. Vogel, S. R. Butler, and F. S. Feigel, “Electrical Properties of Silicon Dioxide Films Fabricated at 770 °C. I: Pyrolysis of Tetraethoxysilane,” J. Electron. Mater., 14, 329 (1985).

    Google Scholar 

  143. F. S. Becker, D. Pawlik, H. Anzinger, and A. Spitzer, “Low-Pressure Deposition of High-Quality SiO2 Films by Pyrolisis of Tetraethylorthosilicate,” J. Vac Sci. Technol., B5, 1555 (1987).

    Google Scholar 

  144. Y. Nishimoto, N. Tokumasu, T. Fukuyama, and K, Maeda, “Low Temperature Chemical Vapor Deposition of Dielectric Films Using Ozone and Organosilane,” Extended Abstracts, 19th Conference Solid-State Devices and Materials, p. 447 (1987).

    Google Scholar 

  145. H. Kotani, M. Matsuura, A. Fujii, H. Genjou, and S. Nagao, “Low-Temperature APCVD Oxide Using TEOS-Ozone Chemistry for Multilevel Interconnections,” IEDM 1989 Technical Digest, p. 669.

    Google Scholar 

  146. S. Nguyen, D. Dobuzinski, D. Harmon, R. Gleason, and S. Fridmarm, “Reaction Mechanisms of Plasma-and Thermal-Assisted Chemical Vapor Deposition of Tetraethylorthosilicate Oxide Films,” J. Electrochem. Soc., 137(7), 2209 (1990).

    Google Scholar 

  147. K. Fujino, Y. Nishimoto, N. Tokumasu, and K. Maeda, “Silicon Dioxide Deposition by Atmospheric Pressure and Low-Temperature CVD Using TEOS and Ozone,” J. Electrochem. Soc., 137(9), 2883 (1990).

    Google Scholar 

  148. M. Matsuura, Y. Hayashide, H. Kotani, and H. Abe, “Film Characteristics of APCVD Oxide using Organic Silicon and Ozone,” Jpn. J. Appl Phys., 1530 (1991).

    Google Scholar 

  149. K. Fujino, Y. Nishimoto, N. Tokumasu, and K. Maeda, “Reaction Mechanism of TEOS and O3 Atmospheric Pressure CVD,” 1991 Proceedings, 8th Intnl. IEEE VLSI Multilevel Interconnection Conference, p. 445.

    Google Scholar 

  150. K-T. Chang and K. Rose, “Enhanced Injection at Silicon-Rich Oxide Interface,” Appl. Phys. Lett, 49(14), 868 (1986).

    Google Scholar 

  151. D. J. DiMaria, K. M. DeMeyer, C. M. Serrano, and D. W. Dong, “Electrically-Alterable Read-Only Memory Using Silicon-Rich SiO2 Injectors and a Floating Polycrystalline Silicon Storage Layer,” J. Appl. Phys., 52(7) 4825 (1981).

    Google Scholar 

  152. D. J. DiMaria, K. M. DeMeyer, and D. W. Dong, “Dual-Electron-Injector-Structure Electrically-Alterable Read-Only-Memory Modelong Studies,” IEEE Trans. Electron Devices, ED-28(9), 1047 (1981).

    Google Scholar 

  153. J. T. Milek, “Silicon Nitride f or Microelectronic Applications,” Parts 1 and 2, “Handbook of Electronic Materials,” Vols. 3 and 6. IFI/Plenum, New York, 1971-1972.

    Google Scholar 

  154. J. V. Dalton and J. Drobek, “Structure and Sodium Migration in Silicon Nitride Films,” J. Electrochem. Soc. 115, 865 (1968).

    Google Scholar 

  155. V. Y. Doo, D. R. Nichols, and G. A. Silvey, “Preparation and Properties of Pyrotytic Silicon Nitride,” J. Electrochem. Soc., 113, 1279 (1966).

    Google Scholar 

  156. T. Arizumi, T. Nishinaga, and H. Ogawa, “Thermodynamical Analysis and Experiments for the Preparation of Silicon Nitride,” Jpn. J. Appl Phys., 7, 1021 (1968).

    Google Scholar 

  157. K. E. Bean, P. S. Gleim, R. L. Yeakley, and W. R. Runyan, “Some Properties of Vapor Deposited Silicon Nitride Films Using the SiH4-NH3-H2 System,” J. Electrochem. Soc., 114,733 (1968).

    Google Scholar 

  158. H. J. Stein, B. L. Doyle, and S. T. Picraux, “Hydrogen Concentration Profiles and Chemical Bonding in Silicon Nitride,” J. Electronic Material, 8, 11 (1979).

    Google Scholar 

  159. T. Watanabe, N. Goto, N. Yasuhisa, T. Yanase, T. Tanaka, and S. Shinozaki, “Highly Reliable Trench Capacitor with SiO2/Si3N4/SiO2 Stacked Film,” IEEE, IRPS 1987 Technical Digest, p. 50.

    Google Scholar 

  160. Y. Ohji, T. Kusaka, I. Yoshida, A. Hiraiwa, K. Yagi, and K. Mukai, “Reliability of Nano-Meter Thick Multilayer Dielectric Films on Polycrystalline Silicon,” IEEE IRPS 1987 Technical Digest, p. 55.

    Google Scholar 

  161. H. Iwai, H. S. Momose, T. Morimoto, Y. Ozawa, and K. Yamabe, “Stacked-Nitride Oxide Gate MISFET with High Hot-Carrier-Immunity,” IEDM 1990 Technical Digest, p. 235.

    Google Scholar 

  162. H. C. Card and M. I. Elmasry, “Functional Modeling of Nonvolatile MOS Memory Devices,” Solid State Electronics, 19, 863 (1976).

    Google Scholar 

  163. R. L. Abber, “Photochemical Vapor Deposition,” in Handbook of Thin-Film Deposition Processes and Techniques, K. K. Schuegraf, Ed., Noyes Publications, New Jersey, 1988.

    Google Scholar 

  164. J. W. Peters, F. L. Gebhart, and T. C. Hall, “Low Temperature Photo-CVD Silicon Nitride-Properties and Applications,” Solid State Technology, 23(9) 121 (1980).

    Google Scholar 

  165. C. H. J. Van Der Brekel and P. J. Severin, “Control of the Deposition of Silicon Nitride by 2573 Ä Radiation,” J. Electrochem. Soc., 119,372(1972).

    Google Scholar 

  166. Y. Mishima, M. Hirose, Y. Osaka, K. Nagamine, Y. Ashida, N. Kitagawa, and K. Isogaya, “Silicon Thin-Film Formation by Direct Photochemical Decomposition of Dlsllane,” Jpn. J. Appl. Phys., 22(1), L46 (1983).

    Google Scholar 

  167. W. I. Milne, F. J. Clough, S. C. Deane, S. D. Baker, and P. A. Robertson, “Photoenhanced CVD of Hydrogenated Amorphous Silicon Using an Internal Hydrogen Discharge Lamp,” Appl. Surface Science, 43, 277 (1989).

    Google Scholar 

  168. C. A. Moore, Z-q Yu, L. R. Thompson, and G. J. Collins, “Laser and Electron Beam Assisted Processing,” K. K. Schuegraf, Ed, Handbook of Thin-Film Deposition Processes and Techniques, p. 318, Noyes Publications, New Jersey, 1988.

    Google Scholar 

  169. J. J. Rocca, J. Meyer, M. Farrell, and G. J. Collins, “Glow-Discharge-Created Electron Beams: Cathode Materials, Electron Designs, and Technological Applications,” J. Appl. Phys., 56(3), 790 (1984).

    Google Scholar 

  170. J. R. Hollahan and R. S. Rosier, “Plasma Deposition of Inorganic Films,” Thin Film Processes, J. L. Vossen and W. Kern, Eds., Academic Press, New York, 1978.

    Google Scholar 

  171. J. L. Vossen and W. Kern, “Thin-Film Formation,” Physics Today, 33, 26 (May 1980).

    Google Scholar 

  172. R. S. Rosier, W. Benzing, and J. Balod, “A Production Reactor for Low Temperature Plasma-Enhanced Silicon Nitride Deposition,” Solid-State Technology, 19(6), 45 (1976).

    Google Scholar 

  173. S. Rhee, J. Szekely, and O. J. Ilebusi, “On the Three-Dimensional Transport Phenomena in CVD Processes,” J. Electrochem. Soc., 134, 2552 (1987).

    Google Scholar 

  174. J. C. Knight, Symposia Proceedings of Material Research Society Fall 1984 Meeting, 38, 371 (1985).

    Google Scholar 

  175. S. Rhee and F. J. Szekely, “The Analysis of Plasma-Enhanced Chemical Vapor Deposition of Silicon Films,” J. Electrochem. Soc., 133, 2194 (1986).

    Google Scholar 

  176. M. J. Rand, “Plasma-Promoted Deposition of Thin Inorganic Films,” J. Vac. Sci. technol 16, 420 (1979).

    Google Scholar 

  177. J. R. Hollaban, “Deposition of Plasma Silicon Oxide Thin Films in a Production Planar Reactor,” J. Electrochem-Soc., 126, 930 (1979).

    Google Scholar 

  178. H. F. Sterling and R. C. G. Swann, “Chemical Vapor Deposition Promoted by R.F. Discharge,” Solid-State Electronics 8, 653 (1965)

    Google Scholar 

  179. A. C. Adams, “Plasma Deposition of Inorganic Films,” Solid-State Technology, 24, 135 (1983).

    Google Scholar 

  180. B. L. Chin and E. P. van de Ven, “Plasma TEOS Process for Interlayer Dielectric Applications,” Solid-State Technology, 31, 119, April 1988.

    Google Scholar 

  181. A. C. Adams, F. B. Alexander, D. Capio, and T.E. Smith, “Characterization of Plasma-Deposited Silicon Dioxide,” J. Electrochem. Soc., 128, 1545 (1981).

    Google Scholar 

  182. J. Batey and E. Tierney, “Low-Temperature Deposition of High-Quality Silicon Dioxide by Plasma-Enhanced Chemical Vapor Deposition,” J. Appl. Phys., 60, 3136 (1986).

    Google Scholar 

  183. A. A. Bright, J. Batey, and E. Tierney, “Low-Rate Plasma Oxidation of Si in a Dilute Oxygen /Helium Plasma for Low-Temperature Gate Quality Si/SiO b2 Interfaces,” Appl. Phys. Lett, 58(6), 619 (1991).

    Google Scholar 

  184. R. G. G. Swann, R R. Menta, and T. P. Cauge, “The Preparation and Properties of Thin-Film Silicon-Nitrogen Compounds Produced by a Radio Frequency Glow Discharge Reaction,” J. Electrochem. Soc., 114, 713 (1967).

    Google Scholar 

  185. W. A. Lanford and M. J. Rand “The Hydrogen Content of Plasma Deposited Silicon Nitride,” J. Appl. Phys., 49, 2473 (1978).

    Google Scholar 

  186. R. Chow, W. A. Lanford, W. Ke-Ming, and R S. Rosier, “Hydrogen Content of a Variety of Plasma Deposited Silicon Nitride,” J. Appl. Phys., 53, 5360 (1982).

    Google Scholar 

  187. H. J. Stein, V. A. Wells, and R H. Hampy, “Properties of Plasma-Deposited Silicon Nitrride,” J. Electrochem. Soc., 126, 1750 (1979).

    Google Scholar 

  188. M. Maeda and H. Nakamura, “Hydrogen Bonding Configurations in Silicon Nitride Films Prepared by Plasma-Enhanced Deposition,” J. Appl. Phys., 58(1), 484 (1985).

    Google Scholar 

  189. V. A. P. Claassen, W. G. J. N. Volkenburg, M. F. C. Willemsen, and M. W. v.d. Wijgert, “Influence of Deposition Temperature, Gas Pressure, Gas Phase Composition, and Frequency on Composition and Mechanical Stress of Plasma Silicon Nitride Layers,” J. Electrochem. Soc., 132, 893 (1977). JES 130 1249 (1983).

    Google Scholar 

  190. E. P. EerNisse, “Stress in Ion-Implanted CVD Si3N4 Films,” J. Appl Phys., 48, 3337 (1977).

    Google Scholar 

  191. M. J. Grieco, F. L. Worthing, and B. Schwartz, “Silicon Nitride Thin Films from SiCl4 Plus NH3: Preparation and Properties,” J. Electrochem. Soc., 115, 525 (1968).

    Google Scholar 

  192. K. Sinha, H. J. Levinstein, and T. E. Smith, “Thermal Stresses and Cracking Resistance of Dielectric Films (SIN, Si 3 N 4, and SiO 2) on Si Substrates,” J. Appl. Phys., 49(4), 2423 (1978).

    Google Scholar 

  193. M. M. Denisse, K. Z. Troost, J. B. Oude Elferink, F. H. P. M. Habraken, W. F. v.d. Weg, and M. Hendriks, “Plasma-Enhanced Growth and Composition of Silicon Oxynitride Films,” J. Appl. Phys., 60(7), 2536 (1986).

    Google Scholar 

  194. V. S. Nguyen, P. Pan, and S. Burton, “The Variation of Physical Properties of Plasma-Deposited Silicon Nitride and Oxynitride with Their Compositions,” J. Electrochem. Soc., 131, 2348 (1984).

    Google Scholar 

  195. W. A. P. Claassen, H. A. J. Th. v. d. Pol, A. H. Goemans, and A. E. T. Kuiper, “Characterization of Silicon Oxynitride Films Deposited by Plasma-Emhanced CVD,” J. Electrochem. Soc., 133, 1458 (1986).

    Google Scholar 

  196. Q. A. Shams and W. D. Brown “Physical and Electrical Properties of Memory Quality PECVD Silicon Oxynitride,” J. Electrochem. Soc., 137, 1244 (1990).

    Google Scholar 

  197. P. E. Vanier, F. J. Kampas, R. R. Cordeman, and G. Rajeswaran, “A Study of Hydrogenated Amorphous Silicon Deposited by rf Glow Discharge In Silane-Hydrogen Mtxtrure,” J. Appl. Phys., 56(6), 1812 (1984).

    Google Scholar 

  198. F. Boulitrop, N. Proust, J. Magarifio, E. Criton, J. F. Peray, and M. Dupre, “A Study of Hydrogenated Amorphous Silicon Deposited by Hot-Wall Glow Discharge,” J. Appl Phys., 58(9), 3494 (1985).

    Google Scholar 

  199. D. K. Biegelsen, R. A. Street, C. C. Tsai, and J. C. Knights, “Hydrogen Evolution and Defect Creation in Amorphous Si:H Alloys,” Phys. Rev. B, 20, 4839 (1979).

    Google Scholar 

  200. T. I. Kamins and K. L. Chiang, “Properties of Plasma-Enhanced CVD Silicon Films,” J. Electrochem. Soc., 129, 2326 (1982).

    Google Scholar 

  201. J-J. J. Hajjar and R. Reif, “Characteristics of Thin-Film Transistors Fabricated in Polysilicon Films Deposited by PLasma Enhanced Chemical Vapor Deposition,” J. Electronic Materials, 19(12), 1403 (1990).

    Google Scholar 

  202. D. A. Buchanan, J. Batey, and E. Tierney, “Thin-Film Transistors Incorporating a Thin, High-Quality PECVD SiO2 Gate Dielectric,” IEEE Electron Device Lett, 9(11), 576 (1988).

    Google Scholar 

  203. W. C. Lai, S. S. Ang, W. D. Brown, H. A. Naseem, R. K. Ulrich, and P. V. Dressendorfer, “Growth Characterization of PECVD Semi-Insulating Polysilicon Films and Resistors,” J. Electronic Materials, 19(5), 419 (1990).

    Google Scholar 

  204. J. H. Comfort and R. Reif, “Chemical Vapor Deposition of Epitaxial Silicon from Sitane at Low Temperatures,” J. Electrochem. Soc., 136(8), 2398 (1989).

    Google Scholar 

  205. W. G. Townsend and M. E. Uddin, “Epitaxial Growth of Silicon from SiH4 in the Temperature Range 800-1150 °C,” Solid-State Electronics, 16, 39 (1973).

    Google Scholar 

  206. T. J. Donahue, W.R. Burger, and R. Reif, “Low-Temperature Silicon Epitaxy Using Low Pressure Chemical Vapor Deposition with and without Plasma Enhancement,” Appl. Phys. Lett, 44(3), 346 (1984).

    Google Scholar 

  207. S. Suzuki and T. Itoh, “The Effect of Si-Ge Buffer Layer for Low-Temperature Si Epitaxial Growth on Si Substrate by RF Plasma Chemical Vapor Deposition,” J. Appl. Phys. 54(3), 1466 (1983).

    Google Scholar 

  208. M. J. Helix, K. V. Vaidayanathan, B. G. Streetman, H. B. Dietrich, and P. K. Chatterjee, “R.F. Plasma Deposition of Silicon Nitride Layers,” Thin Solid Films, 55, 143 (1978).

    Google Scholar 

  209. L. G. Meiners, “Electrical Properties of SiO 2 and Si 3 N 4 Dielectric Layers on InP,” J. Vac. Sci Technol., 19, 373 (1981).

    Google Scholar 

  210. P. D. Richard, R. J. Markunas, G. Lucovsky, G. G. Fountain, A. N. Mansour, and D. V. Tsu, “Remote Plasma Enhanced CVD Deposition of Silicon Nitride and Oxide for Gate Insulators in (In, Ga)As FET Devices,” J. Vac. Sci. TechnoL., A 3(3), 867 (1985).

    Google Scholar 

  211. D. V. Tsu, G. Lucovsky, and M. J. Mantini, “Local Atomic Structure in Thin Films of Silicon Nitride and Silicon Damide Produced by Remote Plasma-Enhanced Chemical-Vapor Deposition,” Phys. Rev. B, 33(10), 7069 (1986).

    Google Scholar 

  212. G. Lucovsky, P. D. Richard, D. V. Tsu, S. Y. Lin, and R. J. Markunas, “Deposition of Silicon Dioxide and Silicon Nitride by Remote Plasma Enhanced Chemical Vapor Deposition,” J. Vac. Sci TechnoL, A 4(3), 681 (1986).

    Google Scholar 

  213. S. S. Kim, D. V. Tsu, and G. Lucovsky, “Deposition of Device Quality Silicon Dioxide Thin Films by Remote Plasma Enhanced Chemical Vapor Deposition,” J. Vac. Sci Technol., A 6(3), 1740 (1988).

    Google Scholar 

  214. G. Lucovsky, D. V. Tsu, S. S. Kuim, R. J. Markunas, and G. G. Fountain, “Formation of ThiFilm Dielectrics by Remote Plasma-Enhanced Chemical-Vapor Deposition (Remote PECVD),” Applied Surface Science, 39, 33 (1989).

    Google Scholar 

  215. L. Breaux, B. Anthony, T. Hsu, S. Banerjee, and A. Tasch, “Homoepitaxial Films Grown on Si(lOO) at 150 °C Remote Plasma-Enhanced Chemical Vapor Deposition,” Appl Phys. Lett, 55(18), 1885 (1989).

    Google Scholar 

  216. B. Anthony, T. Hsu, L. Breaux, R. Qian, S. Banerjee, and A. Tasch, “Remote Plasma-Enhanced CVD of Silicon: Reaction Kinetics as a Function of Growth Parameters,” J. Electronic Materials, 19(10), 1089 (1990).

    Google Scholar 

  217. T. Fuyuki, T. Saitoh, and H. Matsunami “Low-Temperature Deposition of Hydrogen-Free Silicon Oxynitride Without Stress by the Remote Plasma Technique,” Jpn. J. Appl. Phys. Part 1,29(10), 2247 (1990).

    Google Scholar 

  218. S. C. Kim, M. H. Jung, and J. Jang, “Growth of Microcrystal Silicon by Remote Plasma Chemical Vapor Deposition,” Appl. Phys. Lett, 58(3), 281 (1991).

    Google Scholar 

  219. J. L. Vossen and J. J. Cuomo, “Glow Discharge Sputter Deposition,” in Thin Film Processes, J. L. Vossen and W. Kern, Eds., p. 12, Academic Press, New York, 1978.

    Google Scholar 

  220. B. Chapman, “Glow Discharge Processes,” Wiley Interscience, New York (1980).

    Google Scholar 

  221. D. C. Bishop, K. A. Emery, J. J. Rocca, L. R. Thompson, H. Zarnani, and G. J. Collins, “Silicon Nitride Films Deposited with an Electron Beam Created Plasma,” Appl. Phys. Lett, 44(6), 598 (1984).

    Google Scholar 

  222. C. T. Sah, J. Y Sun, and J. J. Tzou, “Generation-Annealing Kinetics and Atomic Models of a Compensating Donor in the Surface Space-Charge Layer of Oxidized Silicon,” J. Appl. Phys., 54(2), 944 (1983).

    Google Scholar 

  223. S. Matsuo, “Microwave Electron Cyclotron Resonance Plasma Chemical Vapor Deposition,” K. K. Schuegraf, Handbook of Thin-Film Deposition Processes and Techniques, p. 147, Noyes Publications, New Jersey, 1988.

    Google Scholar 

  224. S. Matsuo and M. Kiuchi, “Low Temperature Chemical Vapor Deposition Method Uti-llzing and Electron Cyclotron Resonance Plasma,” Jpn. J. Appl. Phys., 22, L210 (1983).

    Google Scholar 

  225. M. J. Cooke and N. Sharrock, “Planarising Silicon Dioxide Layers by Distributed ECR Deposition,” Proceedings of the Eight Symposium on Plasma Processing, G. S. Mathad and D. W. Hess, Eds., The Electrochem. Soc., 90-14, 538 (1990).

    Google Scholar 

  226. F. Plais, Agius, F. Abel, J. Siejka, M. Puech, and P. Alnot, “Low Temperature Deposition of Silicon Dioxide by Distributed Electron Cyclotron Resonance Plasma Enhanced Chemical Vapor Deposition,” Proceedings of the Eight Symposium on Plasma Processing, G. S. Mathad and D. W. Hess, Eds., The Electrochem. Soc., 90-14, 544 (1990).

    Google Scholar 

  227. T. T. Chau, S. R. Mejia, and K. C. Kao, “Electronic Properties of Thin SiO2 Films Deposited at Low Temperatures by New ECR Microwave PECVD Process,” Electronics Lett, 25(16), 1088 (1989).

    Google Scholar 

  228. S. V. Nguyen and K. Albaugh, “The Characterization of Electron Cyclotron Resonance Plasma Deposited Silicon Nitride and Silicon Oxide Films,” J. Electrochem. Soc., 136, 2835 (1989).

    Google Scholar 

  229. R. G. Andosca, W. J. Varhue, and E. Adams, “Silicon Dioxide Films Deposited by Electron Cyclotron Resonance Plasma Enhanced Chemical Vapor Deposition,”

    Google Scholar 

  230. T. Shibata, Y. Nanishi, and M. Fujimoto, “Low-Temperature Si Surface Cleaning by Hydrogen Beam with Electron-Cyclotron-Resonance Plasma Excitation,” Jpn. J. Appl. Phys. Part 2, 29(7), 1181 (1990).

    Google Scholar 

  231. J. F. O’Hanlon, “A User’s Guide to Vacuum Technology,” John Wiley and Sons, New York (1981).

    Google Scholar 

  232. R. Glang, “Vacuum Evaporation,” L. I Maissel and R. Glang, Eds., Handbook of Thin Film Technology, p. 11, McGraw-Hill, New York (1970).

    Google Scholar 

  233. J. L. Vossen and J. J. Cuomo, “Glow Discharge Sputter Deposition,” J. L. Vossen and W. Kern, Thin Film Processes, p. 11, Academic Press, New York (1978).

    Google Scholar 

  234. B. N. Chapman, “Glow Discharge Processes: Sputtering and Plasma Etching,” John Wiley & Sons, New York (1980).

    Google Scholar 

  235. B. N. Chapman and S. Mangano, “Introduction to Sputtering,” K. K. Schuegraf, Ed., Handbook of Thin-Film Deposition Processes and Techniques, p. 291, Noyes Publications, New Jersey (1988).

    Google Scholar 

  236. T. C. Tisone, “Low Voltage Triode Sputtering,” Solid-State Technology, 18(12), 34 (1975).

    Google Scholar 

  237. F. Powell, J. H. Oxley, and J. M. Blocher, Jr., Eds., “Vapor Deposition,” John Wiley & Sons, New York (1966).

    Google Scholar 

  238. T. Van Vorous, “Planar Magnetron Sputtering: A New Industrial Coating Technique,” Solid State technology, 62, Dec. 1976.

    Google Scholar 

  239. A. S. Penfold and J. A. Thornton, “Electrode Type Glow Discharge Apparatus,” U.S. Patents 3,884,793 (1975); 3,995,187, 4,030996, 4,031,424, and 4,041,353 (1977).

    Google Scholar 

  240. M. Wright and T. Beardow, “Design and Advances in the Rotatable Cylindrical Magnetron,” J. Vac. Sci. and Technol, A4(3), 388 (1986).

    Google Scholar 

  241. G. J. Kominiak, “Silicon Nitride by Direct RF Sputter Deposition,” J. Electrochem. Soc., 122, 1271 (1975).

    Google Scholar 

  242. S. Suyama et al, “The Effect of Oxygen-Argon Mixing on Properties of Sputtered Silicon Dioxide Films,” J. Electrochem. Soc., 134, 2260 (1987).

    Google Scholar 

  243. J. Moghab, et al. “Effect of Reactant Nitrogen Pressure on The Microstructure and Properties of Reactively Sputtered Silicon Nitride Films,” J. Electrochem. Soc., 122, 815 (1975).

    Google Scholar 

  244. J. Kortland and L. Oosting, “Deposition and Properties of RF Reactivefy Sputtered SiO2 Layers,” Solid-State Technology, 153, Oct. 1982.

    Google Scholar 

  245. K. G. Geraghty and L. F. Donaghey, “Kinetics of the Reactive Sputter Deposition of Titanium Oxide,” J. Electrochem. Soc., 123, 1201 (1976).

    Google Scholar 

  246. T. N. Kennedy, “Sputtered Insulator Films Contouring over Substrate Topography,” J. Vac. Sci. and Technol., 13, 1135 (1976).

    Google Scholar 

  247. S. M. Rossnagel, D. Mikalsen, H. Kinoshita, and J. J. Cuomo, “Collimated Magnetron Sputter Deposition,” J. Vac. Sci and Technol. A, Vac. Surf. Films, 9(2), 261 (1991).

    Google Scholar 

  248. H. Sigiura and M. Yamaguchi, “Growth of Dislocation-Free Silicon Films by Molecular Beam Epitaxy,” J. Vac. Sci and Technol., 19, 157 (1981).

    Google Scholar 

  249. W. S. Knodle and R. Chow, “Molecular Beam Epitaxy: Equipment and Practice,” Handbook of Thin-Film Deposition Processes and Techniques, K. K. Schuegraf, Ed, p. 170, Noyes Publications, New Jersey 1988.

    Google Scholar 

  250. B. A. Unvala, “Epitaxial Growth of Silicon by Vacuum Evaporation,” Nature, 194, 166 (1962).

    Google Scholar 

  251. K. L. Wang, “Novel Devices by Si-Based Molecular Beam Epitaxy,” Solid State Technology, 28(10), 137 (1985).

    Google Scholar 

  252. S. S. Iyer, R. A. Metzger, and F. G. Allen, “Sharp Profiles with High and Low Doping Levels in Silicon Grown by Molecular Beam Epitaxy,” J. Appl. Phys., 52, 5608 (1981).

    Google Scholar 

  253. J. C. Bean, “Silicon Molecular Beam Epitaxy as a VLSI Processing Technique,” IEDM Technical Digest, p. 6 (1981).

    Google Scholar 

  254. U. Konig, H. Kibbel, and E. Kasper, “MBE: Growth and Sb Doping,” J. Vac. Sci. TechnoL, 16, 985 (1979).

    Google Scholar 

  255. J. C. Bean and E. A. Sadowiski, “Silicon MBE Apparatus for Uniform High-Rate Deposition on Standard Format Wafers,” J. Vac. Sci Technol., 20, 137 (1982).

    Google Scholar 

  256. Y. Ota, “Silicon Molecular Beam Epitaxy (n on n+) with Wide Range Doping Control,” J. Electrochem. Soc., 124, 1795 (1977).

    Google Scholar 

  257. S. S. Iyer, et al., “Dopant Incorporation Processes in Silicon Grown by Molecular Beam Epitaxy,” VLSI Sci and Technol., K. E. Bean and G. A. Rozgonyi, Eds., p. 473, Electrochemical Society, Pennington, New Jersey 1984.

    Google Scholar 

  258. J. C. Bean, S. S. Iyer, and K. L. Wang, Eds., “Silicon Molecular Beam Epitaxy,” Material Research Society, Symposium Proceedings, Vol. 220, Pittsburg, Pennsylvania (1991).

    Google Scholar 

  259. J. R. Arthur, “Interaction of Ga and As 2 Molecular Beams with GaAs Surfaces,” J. Appl. Phys., 39, 4032 (1968).

    Google Scholar 

  260. A, Y. Cho, “Film Deposition by Molecular Beam Technologies,” J. Vac. Sci and TechnoL 8, S31 (1971).

    Google Scholar 

  261. L L. Chang, L. Esaki, W. E. Howard, R. Ludeke, and G. Schul, “Structures Grown by Molecular Beam Epitaxy,” J. Vac. Sci and Technol. 10, 655 (1973).

    Google Scholar 

  262. B. Pamplin, Ed., “Molecular Beam Epitaxy,” Pergamon Press, New York 1980.

    Google Scholar 

  263. E. Parker, Ed., “The Technology and Physics of Molecular Beam Epitaxy,” Plenum Press, New York 1985.

    Google Scholar 

  264. J. B. Posthill, D. P. Malta, R. Venkatasubramanian, R. R. Sharps, M. L. Timmons, R. J. Markunas, T. P. Humphreys, and N. R. Parikh, “MBE Growth and Characterization of Si xGe1-x Multilayer Structures on Si(100) for Use as Substrate for GaAs Heteroepitaxy,” Silicon Molecular Beam Epitaxy, J. C. Bean, S. S. Iyer, and K. L. Wang, Eds., Material Research Society, Symposium Proceedings, Vol. 220, Pittsburg, Pennsylvania, p. 265 (1991).

    Google Scholar 

  265. I. Yamada, T. Takagi, and P. Younger, “Ionized Cluster Beam Deposition,” K. K. Schuegraf, Ed., Handbook of Thin-Film Deposition Processes, p. 344, Noyes Publications, New Jersey (1988).

    Google Scholar 

  266. T. Takagi, I. Yamada, M. Kunori, and S. Kobiyama, “Vaporized-Metal Cluster Ion Source for Ion Plating,” Jap. J. Appl. Phys., Suppl. 2, p. 427 (1974).

    Google Scholar 

  267. R. A. D. Mackenzie and G. D. W. Smith, “Focused Ion Beam Technology: a Bibliography,” Nanotechnology, 1(2), 163 (1990).

    Google Scholar 

  268. P. C. Zalm and L. J. Beckers, “Ion Beam Epitaxy of Silicon on Ge and Si at Temperatures of 400 K,” Appl. Phys. Lett, 41(2), 167 (1982).

    Google Scholar 

  269. I. Yamada, H. Inokawa, and T. Takagi, “Epitaxial Growth of Al on Si(111) by Ionized Cluster Beam,” J. Appl. Phys., 56, 2746 (1984).

    Google Scholar 

  270. P. Younger, “Principle and Application of Ionized Cluster Beam Deposition,” J. Vac Sci Technol., A3, 588 (1985).

    Google Scholar 

  271. R. L. Kubena, J. W. Ward, F. P. Stratton, R. J. Joyce, and G. M. Atkinson, “A Low Magnification Focused Ion Beam System with 8 nm Spot Size,” J. Vac. Sci TechnoL, 9(6), 1937 (1991).

    Google Scholar 

  272. A. J. Steckl, H. C. Mogul, and S. M. Mogren, “Ultrashallow p +-n Junction Fabrication by Low Energy Focused Ion Beam Implantation,” J. Vac. Sci TechnoL, 8(6), 1937 (1990).

    Google Scholar 

  273. P. M. Petroff, Y. J. Li, Z. Xu, W. Beinstingl, S. Sasa, and K. Ensslin, “Nanostructures Processing by Focused Ion Beam Implantation,” Focused Ion Beam Implantation,” J. Vac Sci TechnoL, 9(6), 3074 (1991).

    Google Scholar 

  274. L. R. Harriott, “Microfocused ion Beam Applications in Microelectronics,” Appl. Surf. Sci, 36, 432 (1989).

    Google Scholar 

  275. U. Weigmann, H.-C. Petzold, H. Burghause, R. Putzar, and H. Schaffer, “Repair of Electroplated Gold Masks for X-Ray Lithography,” J. Vac. Sci and TechnoL, B6, 2170 (1988).

    Google Scholar 

  276. T. Ishitani, T. Ohnishi, Y. Madokoro, and Y. Kawanami, “Focused-Ion-BeamCutterandAttacherfor Micromachining and Device Transplantation,” J. Vac. Sci TechnoL, 9(5), 2633 (1991).

    Google Scholar 

  277. D. K. Stewart, L. A. Stem, and J. C. Morgan,, “Focused-Ion-Beam Induced Deposition of Metal for Microcircuit Modification,” Proc. SPIE, Int. Soc. Opt Eng., 1089, 18 (1989).

    Google Scholar 

  278. Y. Takahashi, Y. Madukoro, and T. Ishitani, “Focused Ion Beam Induced Deposition in the High Current Density Region,” Jpn. J. Appl. Phys., 30(11B), 3233 (1991).

    Google Scholar 

  279. H. Nakamura, H, Komano, K. Norimatu, and Y. Gomei, “Silicon Oxide Deposition into a Hole Using a Focused Ion Beam,” Region,” Jpn. J. Appl. Phys., 30(11B), 3238 (1991).

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Rights and permissions

Reprints and permissions

Copyright information

© 1995 Springer Science+Business Media New York

About this chapter

Cite this chapter

El-Kareh, B. (1995). Thin Film Deposition. In: Fundamentals of Semiconductor Processing Technology. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-2209-6_3

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-2209-6_3

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-5927-2

  • Online ISBN: 978-1-4615-2209-6

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics