Skip to main content

Manufacturing Yield

  • Chapter

Abstract

Primary drivers of the microelectronics technology are cost and performance. Within a given process technology and electronic system function, the system specifications dictate the circuit design and architecture needed to achieve the required performance. The design is partitioned into integrated circuit (IC) chips to optimize cost and technology. In turn, cost is dependent on manufacturing yield, which is the thrust of yield management at the initiation of a new technology, and the focus of this chapter.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   169.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   219.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   219.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  • Armstrong, E. 1989. “Principles of Competitive Semiconductor Manufacturing,” in Proceedings of International Semiconductor Manufacturing Science Symposium Burlingame, CA, May 1989.

    Google Scholar 

  • Bonk, P. J. 1986. Private communication.

    Google Scholar 

  • Brown, K. M. and Dennis, J. E. 1970. Derivative Free Analogues of the Levenberg Marquardt and Gauss Algorithms for Nonlinear Least Squares Approximation. IBM Philadelphia Scientific Center Report No. 320–2994.

    Google Scholar 

  • Bulmer, M. G. 1965. Principles of Statistics The M.I.T. Press, Chapter 6, pp. 69–92.

    MATH  Google Scholar 

  • Chen, Ihao and Strojwas, Andrezj J. 1987. Realistic Yield Simulation for VLSIC Structure Failures. IEEE Transactions on Computer Aided Design Vol. CAD-6, No. 6, Nov. 1987, pp. 965–80.

    Article  Google Scholar 

  • Comeau, Alain. 1990. How to Extract Defect Densities from Distributions. IEEE Transactions on Semiconductor Manufacturing Vol. 3, No. 2, May 1990, pp. 84–89.

    Article  Google Scholar 

  • Cunningham, James A. 1990. The Use and Evaluation of Yield Models in Integrated Circuit Manufacturing. IEEE Transactions on Semiconductor Manufacturing Vol. 3, No. 2, May 1990, pp. 6071.

    Article  MathSciNet  Google Scholar 

  • Cunningham, J. A. 1980. Using the Learning Curve as a Management Tool. IEEE Spectrum June 1980, pp. 45–48.

    Google Scholar 

  • Dance, D. and Jarvis, R. 1990. “Using Yield Models to Accelerate Learning Curve Process,” in International Semiconductor Manufacturing Science Symposium Burlingame, CA, 1990, pp. 63–67.

    Google Scholar 

  • DeGyvez, Jose Pineda and Jess, J. A. G. 1989. On the Design and Implementation of a Wafer Yield Editor. IEEE Transactions on Computer Aided Design Vol. 8, No. 8, Aug. 1989, pp. 920–925.

    Article  Google Scholar 

  • DeGyvez, Jose Pineda and Jess, J. S. G. On the Definition of Critical Areas for IC Photolithographic Spot Defects. IEEE Transactions on Semiconductor Manufacturing CH2696, Mar. 1989, pp. 152–158.

    Google Scholar 

  • Dimitrijev, S., Stojadinovic, N., and Stamenkovic, Z. 1988. Yield Model for In-Line Integrated Circuit Production Control. Solid State Electronics Vol. 31, No. 5, pp. 975–979.

    Article  Google Scholar 

  • Fang, P. 1990. “Yield modeling in a custom IC manufacturing line,” IEEE/SEMI Advanced S/C Manuf Conf.

    Google Scholar 

  • Ferris-Prabhu, A. V. 1984. “Forecasting Semiconductor Yield,” in Int’l Conf. on Computer Systems and Signal Processing Bangalore, India, Paper R46.13, pp. 149–156.

    Google Scholar 

  • Ferris-Prabhu, Albert V. 1985. Role of Defect Size Distribution in Yield Modeling. IEEE Transactions on Electron Devices Vol. ED-32, No. 9, pp. 1727–1736.

    Article  Google Scholar 

  • Ferris-Prabhu, A. V. 1985. VLSI Yield Management and Prediction. Quality and Reliability Engineering International Vol. 1, pp. 219–225.

    Article  Google Scholar 

  • Ferris-Prabhu, A. V., et al. 1987. Radial Yield Variations in S/C Wafers. IEEE Circuits & Devices Magazine Vol. 3, No. 2, pp. 42–47.

    Article  Google Scholar 

  • Ferris-Prabhu, A. V. 1989. An Algebraic Expression to Count the Number of Chips on a Wafer. IEEE Circuits and Devices Magazine Vol. 5, No. 1, Jan. 1989, pp. 37–39.

    Article  Google Scholar 

  • Ferris-Prabhu, A. V. 1990. A Cluster-Modified Poisson Model for Estimating Defect Density and Yield. IEEE Transactions on Semiconductor Manufacturing Vol. 1, No. 2, May 1990.

    Google Scholar 

  • Gandemer, Sophie, Tremintin, Bernard C., and Charlot, Jean-Jacques. 1988. Critical Area and Critical Levels Calculation in I.C. Yield Modeling. IEEE Transactions on Electron Devices Vol. 35, No. 2, pp. 158–166.

    Article  Google Scholar 

  • Glang, R. 1990. “Defect Size Distribution in VLSI Chips,” in Proceedings International Conference on Microelectronic Test Structures San Diego, CA, Mar. 5–7, 1990, pp. 57–60.

    Google Scholar 

  • Gupta, Mani R. and Magdo, S. 1989. “Test Site Aided I.C. Product Introduction,” in IEEE/SEMI International Semiconductor Manufacturing Science Symposium 1989, pp. 51–57.

    Google Scholar 

  • Gwozdz, Peter S. 1990. Yield Modeling on Your Personal Computer. Semiconductor International Aug. 1990, pp. 98–103.

    Google Scholar 

  • Henderson, I. 1989. “A Production Fab Defect Reduction Program,” in IEEE/SEMI International Semiconductor Manufacturing Science Symposium 1989, pp. 58–60.

    Article  Google Scholar 

  • Hilberg, G. 1980. Learning Processes and Growth Curves in the Field of Integrated Circuits. Microelectronic Reliability Vol. 20, pp. 337–341.

    Article  Google Scholar 

  • Kleppmann, W. G. and Vollertsen, R. P. 1990. Variation of Defect Density and Its Influence on Yield Extrapolation for Integrated Circuits. Quality and Reliability Engineering International Vol. 6, 1990, pp. 133–143.

    Article  Google Scholar 

  • Kramer, A. S. 1989. “Total Cycle Time Management by Operational Elements,” in IEEE/SEMI International Science Symposium ‘89, pp. 17–20.

    Article  Google Scholar 

  • Lea, R. M. and Bolouri, H. S. 1988. Fault tolerance: Step Toward WSI. IEEE Proceedings Vol. 135, Pt. E, No. 6, pp. 289–297.

    Google Scholar 

  • Lukaszek, Wes, Kai, G. G., and Yarborough, W. J. 1990. Test Chip Based Approach to Automated Diagnosis of CMOS Yield Problems. IEEE Transactions on Semiconductor Manufacturing Vol. 3, No. 1, Feb. 1990, pp. 18–27.

    Article  Google Scholar 

  • Maly, Wojciech, Strojwas, Andrzej J., and Director, S. W. 1986. VLSI Yield Prediction and Estimation: A Unified Approach. IEEE Transactions on Computer-Aided Design Vol. CAD-5, No. 1, Jan. 1986, pp. 114–130.

    Article  Google Scholar 

  • Maly, Wojciech. 1990. Computer-Aided Design for VLSI Circuit Manufacturability. Proceedings of IEEE Vol. 78, No. 2, Feb. 1990, pp. 356–392.

    Article  Google Scholar 

  • Michalka, Timothy L., Varshney, Ramesh C., and Meindl, James D. 1990. A Discussion of Yield Modeling with Defect Clustering, Circuit Repair, and Circuit Redundancy. IEEE Transactions on Semiconductor Manufacturing Vol. 3, No. 3, pp. 116–127.

    Article  Google Scholar 

  • Mitchell, Michael A., Sullwold, James, Figura, Chris, and Forner, Linda. 1989. Standard Error in Die Yield Projections from Defect Test Structures. IEEE International Conference on Micro-electronic Test Structures Vol. 2, No. 1, pp. 189–192.

    Google Scholar 

  • Murphy, B. T. 1964. Cost-Size Optima of Monolithic Integrated Circuits. Proceedings of the IEEE Dec. 1964, pp. 1537–1545.

    Google Scholar 

  • Neudecker, Bernard. 1989. Clustering of Random Point Defects and Yield Statistics in VLSI Circuit Fabrication. Solid State Electronics Vol. 32, No. 9, pp. 807–809.

    Article  Google Scholar 

  • Noyce, Robert N. 1977. Large Scale Integration; What Is Yet to Come? Science Vol. 195, Mar. 1977, pp. 1102–1106.

    Article  Google Scholar 

  • Okabe, T., et al. 1972. Analysis on yield of ICs and a new expression for the yield. Electron. Eng. Jpn. Vol. 92, No. 6, pp. 135–141.

    Article  Google Scholar 

  • Parks, H. G. and Burke, E. A. 1989. “The Nature of Defect Size Distributions in Semiconductor Processes,” in IEEE International Semiconductor Manufacturing Science Symposium CH2699, June 1989, pp. 131–135.

    Article  Google Scholar 

  • Parks, H. G. “Yield Modeling from SRAM Failure Analysis,” in Proc. IEEE Intl. Conf. on Microelectronics Test Structures Vol. 3, pp. 169–174.

    Google Scholar 

  • Paz, O. and Lawson, T. R. 1977. Modification of Poisson Statistics: Modeling Defects Induced by Diffusion. IEEE J. of Solid-State Circuits Vol. SC-12, pp. 540–546.

    Article  Google Scholar 

  • Pimbley, J. M., Ghezzo, M., Parks, H. G., and Brown, D. M. 1989. VLSI Electronics Microstructure Science Vol. 19, Advanced CMOS Process Technology, Chapter 6, Yield, Academic Press, Inc.

    Google Scholar 

  • Pukite, Paul R. and Berman, Claude L. 1990. Defect Cluster Analysis for Wafer Scale Integration. IEEE Transactions on Semiconductor Manufacturing Vol. 3, No. 3, pp. 128–135.

    Article  Google Scholar 

  • Plotnik, I., 1989. Metrology Applied to X-Ray Lithography. Solid State Technology Jan. 1989.

    Google Scholar 

  • Satya, A. V. S., 1983. “Quality Measurement System for S/C Industry,” in Proceedings of International Conference for ASME, ASQE and NDT, QUALTEST-II Dallas, TX, Oct. 1983.

    Google Scholar 

  • Satya, A. V. S., 1983. Private communication.

    Google Scholar 

  • Satya, A. V. S. 1991. “Yield Management Test Sites,” in Proceedings of IEEE International Conference on Microelectronic Test Structures Kyoto, 1991.

    Google Scholar 

  • Satya, A. V. S. 1992. “A Study of Clustering through Electronic Defect Monitors,” in IEEE ICMTS Conference San Diego, CA, 1992 (to be published).

    Google Scholar 

  • Shier, John. 1990. A Statistical Model for Integrated Circuit Yield with Clustered Flaws. IEEE Transactions on Electron Devices Vol. 35, No. 4, pp. 524–525.

    Article  Google Scholar 

  • Stapper, C. H. 1973. Defect Density Distribution for LSI Yield Calculations. IEEE Trans. Electron. Devices Vol. ED-20, No. 7, pp. 655–657.

    Article  Google Scholar 

  • Stapper, C. H. 1975. On a Composite Model of the IC Yield Problem. IEEE J. Solid State Circuits Vol. SC-10, No. 6, pp. 537–539.

    Article  Google Scholar 

  • Stapper, C. H. 1976. LSI Yield Modelling and Process Monitoring. IBM Journal of Research and Development Vol. 20, No. 3, May 1976, pp. 228–234.

    Article  Google Scholar 

  • Stapper, C. H., McLaren, A. H., and Dreckmann, M. 1980. Yield Model For Productivity Optimization of VLSI Memory Chips With Redundancy and Partial Good Product. IBM Journal of Research and Development Vol. 24, No. 3, May 1980, pp. 398–409.

    Article  Google Scholar 

  • Stapper, C., et al. 1982. Evolution and Accomplishments of VLSI Yield Management at IBM. IBM Journal of Research and Development Vol. 26, No. 5, pp. 532–545.

    Article  Google Scholar 

  • Stapper, C. H. 1983. Modeling of Integrated Circuit Defect Sensitivities. IBM Journal of Research and Development Vol. 27, No. 6, Nov. 1983, pp. 549–557.

    Article  Google Scholar 

  • Stapper, C. 1986. The Defect-Sensitivity Effect of Memory chips. IEEE Journal of Solid State Circuits Vol. SC-21, No. 1, pp. 193–198.

    Article  Google Scholar 

  • Stapper, C. H. 1989. Fact and Fiction in Yield Modeling. Microelectronics Journal Vol. 20, Nos. 1–2, pp. 129–151.

    Article  Google Scholar 

  • Stapper, C. H. 1990. Fault-free or Fault-tolerant VLSI Manufacture. Defects and Fault Tolerance in VLSI Systems Vol. 2, Plenum Press, 1990.

    Google Scholar 

  • Strojwas, Andrzej J. 1990. Design for Manufacturability and Yield. Microelectronics Journal Vol. 21, No. 2, pp. 53–66.

    Article  Google Scholar 

  • Thomas, Michael E. and Maly, Wojciech. 1988. “Multilevel Interconnect Yield Estimates Using the Double Bridge Test Structure,” in IEEE V-MIC Conference June 1988, pp. 229–237.

    Google Scholar 

  • Van Zant, P. 1984. Microchip Fabrication Semiconductor Services.

    Google Scholar 

  • Zorich, Robert. 1991. Yield Optimization. Handbook of Quality Integrated Circuit Manufacturing Chapter 2, Academic Press Inc.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Abraham H. Landzberg

Rights and permissions

Reprints and permissions

Copyright information

© 1993 Springer Science+Business Media New York

About this chapter

Cite this chapter

Bonk, P.J., Gupta, M.R., Hamilton, R.A., Satya, A.V.S. (1993). Manufacturing Yield. In: Landzberg, A.H. (eds) Microelectronics Manufacturing Diagnostics Handbook. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-2029-0_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-2029-0_2

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-5840-4

  • Online ISBN: 978-1-4615-2029-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics