Skip to main content

Highlights in Analog and Digital Circuit Design and Synthesis at ICCAD

  • Chapter
The Best of ICCAD

Abstract

When ICCAD began in 1983, we had no robust tools for device modelling, analog circuit synthesis, electrical timing simulation, transistor-to-logic abstraction, or large-scale custom circuit tuning. Today, all these techniques are in common industrial usage, most commercially available. The seven papers in this section fundamentally transformed the way in which we model, manipulate, and solve these difficult tasks today. They are linked by a common thread of “deep circuits innovation”.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 259.00
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 329.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 329.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Ebrahim Khalily, Peter H. Decher and Darrell A. Teegarden, “TECAP2: An Interactive Device Characterization and Model Development System”, in International Conference on Computer-Aided Design, pp. 149–151, 1984.

    Google Scholar 

  2. Jack Fishburn and Al Dunlop, “TILOS: A Posynomial Programming Approach to Transistor Sizing”, in International Conference on Computer-Aided Design, pp. 326–328, 1985.

    Google Scholar 

  3. H. Y. Koh, C H. Sequin and P. R. Gray, “Automatic Synthesis of Operational Amplifiers Based on Analytic Circuit Models”, in International Conference on Computer-Aided Design, pp. 502–505, 1987.

    Google Scholar 

  4. Chandramouli Visweswariah and Ronald A. Rohrer, “SPECS2: An Integrated Circuit Timing Simulator”, in IEEE International Conference on Computer-Aided Design, pp. 94–97, 1987.

    Google Scholar 

  5. Ramesh Harjani, Rob A. Rutenbar and L. Richard Carley, “Analog Circuit Synthesis for Performance in OASYS”, in International Conference on Computer-Aided Design, pp. 492–495, 1988.

    Google Scholar 

  6. Randal E. Bryant, “Extraction of Gate Level Models from Transistor Circuits by Four-Valued Symbolic Analysis”, in International Conference on Computer-Aided Design, pp. 350–353, 1991.

    Google Scholar 

  7. A. R. Conn, P. K. Coulman, R. A. Haring, G. L. Morrill and C. Visweswariah, “Optimization of custom MOS Circuits by transistor sizing”, in IEEE International Conference on Computer-Aided Design, pp. 174–180, 1996.

    Chapter  Google Scholar 

  8. A.J Scholten, R.v Langevelde, H.M.J. Boots, D.B.M Klaasen, G. Gouget and A. Juge, “BSIM4 and MOS Model 11 Benchmark for MOSFET capacitances”, in CMC meeting, March 2001.

    Google Scholar 

  9. G. Gouget, “Measurement conditions for Model Evaluations in 0.13um Technology (HC-MOS9 GPLL, J208SVX, wafer 12”, in DM02.83, May 2002.

    Google Scholar 

  10. Yannis Tsividis, Operation and Modeling of the MOS Transistor, McGraw-Hill, New York, 2nd Edition, 1998.

    Google Scholar 

  11. http://www.silvaco.com.

  12. http://www.agilent.com.

  13. A.E. Ruehli, P. K. Wolf and G. Goertzel, “Power and Timing Optimization of Large Digital Systems”, in Proceedings of the IEEE International Symposium on Circuits And Systems, pp. 402–405, 1976.

    Google Scholar 

  14. A.E. Ruehli, P. K. Wolf and G. Goertzel, “Analytical Power/Timing Optimization Technique for Digital System”, in Proceedings of Design Automation Conference, pp. 142–146, 1977.

    Google Scholar 

  15. L.A. Glasser and L.P.J Hoyte, “Delay and Power Optimization in VLSI Circuits”, in Proceedings of the IEEE Design Automation Conference, pp. 529–535, 1984.

    Chapter  Google Scholar 

  16. K.S. Hedlund, “Models and Algorithms for Transistor Sizing in MOS Circuits”, in Proceedings of the IEEE International Conference on Computer Aided Design, pp. 12–14, 1984.

    Google Scholar 

  17. W.H. Kao, “ARIES, a Workstation Based Schematic Driven System for Circuit Design”, in Proceedings of the IEEE Design Automation Conference, pp. 301–307, 1984.

    Chapter  Google Scholar 

  18. M. Matson, “Optimization of Digital MOS VLSI Circuits”, in Proceedings Chapel Hill Conference on VLSI, pp. 109–126, May 1985.

    Google Scholar 

  19. W.H. Kao, “Algorithms for Automatic Transistor Sizing in CMOS Digital Circuits”, in Proceedings of the IEEE Design Automation Conference, pp. 781–784, 1985.

    Google Scholar 

  20. Y. Nestrov and A. Nemirovsky, “Interior- point polynomial methods in convex programming”, Studies in Applied Mathematics. SIAM, vol. 13, 1994.

    Google Scholar 

  21. W. Orchard-Hays, Advanced Linear Programming Computing Techniques, McGraw-Hill, 1968.

    Google Scholar 

  22. J. Shyu, A. Sangiovanni-Vincentelli, J.P. Fishburn and A.E. Dunlop, “Optimization-Based Transistor Sizing”, IEEE Journal of Solid-State Circuits, vol. 23, n. 2, pp. 400–409, April 1988.

    Article  Google Scholar 

  23. K.J. Singh, A.R. Wang, R.K. Brayton and A. Sangiovanni-Vincentelli, “Timing Optimization of Combinational Logic”, in Proceedings of the IEEE International Conference on Computer-Aided Design, pp. 282–285, 1988.

    Google Scholar 

  24. D Marple, “Transistor Size Optimization in the Tailor Layout System”, in Proceedings of the IEEE Design Automation Conference, pp. 43–48, 1989.

    Google Scholar 

  25. L. Richard Carley and Rob A. Rutenbar, “How to Automate Analog IC Design”, IEEE Spectrum, vol. 25, pp. 26–30, August 1988.

    Article  Google Scholar 

  26. Hormoz Yaghutiel, A Sangiovanni-Vincentelli and Paul R. Gray, “A Methodology for Automated Layout of Switched-Capacitor Filters”, in International Conference on Computer-Aided Design, pp. 444–447, 1986.

    Google Scholar 

  27. David J. Garrod, Rob A. Rutenbar and L. Richard Carley, “Automatic Layout of Custom Analog Cells in ANAGRAM”, in IEEE International Conference on Computer Aided Design, pp. 544–547, 1988.

    Google Scholar 

  28. J. Rijmenants et al, “ILAC: An Automated Layout Tools for Analog CMOS Circuits”, IEEE Journal of Solid-State Circuits, vol. 24, pp. 417–425, April 1989.

    Article  Google Scholar 

  29. Rob A. Rutenbar John M. Cohn, David J. Garrod and L. Richard Carley, “KOAN/ANAGRAM II: New Tools for Device-Level Analog Placement and Routing”, IEEE Journal of Solid-State Circuits, vol. SC-26, n. 3, pp. 330–342, March 1991.

    Google Scholar 

  30. Edoardo Charbon, Enrico Malavasi, Davide Pandini and Alberto Sangiovanni-Vincentelli, “Simultaneous Placement and Module Optimization of Analog IC’s”, in ACM/IEEE Design Automation Conference, pp. 31–35, 1994.

    Google Scholar 

  31. Marc G. DeGrauwe and Willy M. C. Sansen, “A Synthesis Program for Operational Amplifiers”, in IEEE Internat. Symposium on Circuits and Systems, pp. 18–19, 1984.

    Google Scholar 

  32. Ramesh Harjani, Rob A. Rutenbar and L. Richard Carley, “A Prototype Framework for Knowledge-Based Analog Circuit Synthesis”, in ACM/IEEE Design Automation Conference, 1987.

    Google Scholar 

  33. M. Hashizume, H. Y. Kawaii, K. Nii and T. Tamesada, “Design Automation System for Analog Circuits based on Fuzzy Logic”, in IEEE Custom Integrated Circuits Conference, pp. 4.6.1–4.6.4, 1989.

    Google Scholar 

  34. M. G. R. DeGrauwe, “Towards a Analog System Design Envioronment”, IEEE Journal of Solid-State Circuits, vol. 24, June 1989.

    Google Scholar 

  35. Fatey El-Turky and Edward E. Blades, “An Artificial Intelligence Approach to Analog Circuit Design”, ICCAD, vol. 8, n. 6, June 1989.

    Google Scholar 

  36. Z. Ning, T. Mouthaan and H. Wallinga, “SEAS: A Simulated Evolution Approach for Analog Circuit Synthesis”, in IEEE Custom Integrated Circuits Conference, pp. 5.2.1–5.2.4, 1991.

    Google Scholar 

  37. W. Kruiskamp and D. Leenaerts, “DARWIN: CMOS op amp Synthesis by means of a Genetic Algorith”, in ACM/IEEE Design Automation Conference, pp. 433–438, 1995.

    Google Scholar 

  38. S.K. Gupta and M.M. Hasan, “KANSYS: A CAD Tool for Analog Circuit Synthesis”, in International Conference on VLSI Design, pp. 333–334, 1996.

    Google Scholar 

  39. Georges Gielen and Rob A. Rutenbar, “Computer-aided design of analog and mixed-signal integrated circuits”, Proceedings of the IEEE, vol. 88, n. 12, pp. 1825–1854, December 2000.

    Article  Google Scholar 

  40. H. Onodera, H. Kanbara and K. Tamaru, “Operational Amplifier Compilation with Performance Optimization”, IEEE Journal of Solid-State Circuits, vol. 25, pp. 466–473, April 1990.

    Article  Google Scholar 

  41. Gani Jusuf, Paul R. Gray and A. Sangiovanni-Vincentelli, “CADICS - Cyclic Analog-to-Digital Converter Synthesis”, in IEEE International Conference on Computer-Aided Design, November 1990.

    Google Scholar 

  42. P.C. Maulik, L. Richard Carley and D.J. Allstot, “High-Performance Analog Module Generation using Nonlinear Optimization”, in IEEE International ASIC Conference, pp. T13.5.1-T13.5.2, 1991.

    Google Scholar 

  43. H. Chang et al, “A Top-Down Constraint-Driven Design Methodology for Analog Integrated Circuits”, in IEEE Custom Integrated Circuit Conference, pp. 8.4.1–8.4.6, 1992.

    Chapter  Google Scholar 

  44. P.C. Maulik, M.J. Flynn, L. Richard Carley and D.J. Allstot, “Rapid Redesign of Analog Standard Cells using Constrained Optimization Techniques”, in IEEE Custom Integrated Circuit Conference, pp. 8.1.1–8.1.3, 1992.

    Chapter  Google Scholar 

  45. J.P. Harvey, M.I. Elmasry and B. Leung, “STAIC: An Interactive Framework for Synthesizing CMOS and BiCMOS Analog Circuits”, IEEE Transactions on Computer-Aided Design, vol. 11, pp. 1402–1417, November 1992.

    Article  Google Scholar 

  46. P.C. Maulik, L. Richard Carley and Rob A. Rutenbar, “Integer Programming based Topology Selection of Cell-Level Analog Circuits”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 14, pp. 401–412, April 1995.

    Article  Google Scholar 

  47. G.G.E. Gielen, H.C.C. Walscharts and W.M.C. Sansen, “Analog Circuit Design Optimization based on Symbolic Simulation and Simulated Annealing”, IEEE Journal of Solid-State Circuits, vol. 25, pp. 707–713, June 1990.

    Article  Google Scholar 

  48. Emil S. Ochotta, Rob A. Rutenbar and L. Richard Carley, “Synthesis of High-Performance Analog Circuits in ASTRX/OBLX”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 15, pp. 273–293, March 1996.

    Article  Google Scholar 

  49. Maria del Mar Hershenson, Stephen P. Boyd and Thomas H. Lee, “Optimal Design of a CMOS Op-Amp via Geometric Programming”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, n. 1, pp. 1–21, January 2001.

    Article  Google Scholar 

  50. http://www.neolinear.com”.

  51. http://www.barcelonadesign.com”.

  52. A. J. de Geus, “SPECS: Simulation program for electronic circuits and systems”, in Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 534–537, May 1984.

    Google Scholar 

  53. B. R. Chawla, H. K. Gummel and P. Kozak, “MOTIS: An MOS timing simulator”, IEEE Transactions on Circuits and Systems, vol. CAS-22, n. 12, pp. 901–910, December 1975.

    Article  Google Scholar 

  54. E. L. Acuna, J. P. Dervenis, A. J. Pagones and R. A. Salen, “iSPLICE3: a new simulator for mixed analog/digital circuits”, in Proceedings of the IEEE Custom Integrated Circuits Conference, pp. 13.1/1 –13.1/4, May 1989.

    Google Scholar 

  55. A. Devgan and R. A. Rohrer, “Adaptively controlled explicit simulation”, IEEE Transactions on Computer Aided Design, vol. 13, n. 6, pp. 746–762, June 1994.

    Article  Google Scholar 

  56. A. R. Conn, I. M. Elfadel, W. W. Molzen Jr., P. R. O’Brien, P. N. Strenski, C. Visweswariah and C. B. Whan, “Gradient-based optimization of custom circuits using a static-timing formulation”, in Proceedings of the ACM/IEEE Design Automation Conference, pp. 452–459, June 1999.

    Google Scholar 

  57. Randal E. Bryant, “An algorithm for MOS logic simulation”, in Lambda, the Magazine of VLSI Design, pp. 46–53, 1980.

    Google Scholar 

  58. C. Y. Chu, Improved Models for Switch-Level Simulation, PhD thesis, Stanford University, October 1988.

    Google Scholar 

  59. R. A. Rohrer, “Circuit partitioning simplified”, IEEE Transactions on Circuits and Systems, pp. 2–5, January 1988.

    Google Scholar 

  60. A. Salz and M. A. Horowitz, “IRSIM: An incremental MOS switch-level simulator”, in In Proceedings of the 26th Design Automation Conference, pp. 173–1785, June 1989.

    Google Scholar 

  61. Chandramouli Visweswariah, Peter Feldmann and Ronald A. Rohrer, “Incorporation of inductors in piecewise approximate circuit simulation”, in In International Conference on Computer-Aided Design, pp. 162–165, November 1990.

    Google Scholar 

  62. L. T. Pillage and R. A. Rohrer, “Asymptotic Waveform Evaluation for Timing Analysis”, IEEE Transactions on Computer-Aided Design, pp. 352–366, April 1990.

    Google Scholar 

  63. C.L. Ratzlaff, N. Gopal and L.T. Pillage, “RICE: Rapid Interconnect Circuit Evaluator”, in Proc. 28th ACM/IEEE Design Automation Conf., pp. 352–356, June 1991.

    Google Scholar 

  64. Alexander D. Stein, Tuyen V. Nguyen, Binay J. George and Ronald A. Rohrer, “ADAPTS: A digital transient simulation strategy for integrated circuits”, in In 28th ACM/IEEE Design Automation Conference, pp. 26–31, June 1991.

    Google Scholar 

  65. M. Laurentin, A. Greiner and R. Marbot. Desb, “A functional abstractor for CMOS VLSI circuits”, in IEEE European Design Automation Conference, 1992.

    Google Scholar 

  66. S. Jain, R.E. Bryant and A. Jain, “Automatic clock abstraction from sequential circuits”, in 2nd ACM/IEEE Design Automation Conference, June 1995.

    Google Scholar 

  67. N. Halbwachs and F. Maraninchi, “On the symbolic analysis of combinational loops in circuits and synchronous programs”, in Euromicro, September 1995.

    Google Scholar 

  68. T. Kam and P.A. Subrahmanyam, “Comparing layout with HDL models: A formal verification technique”, IEEE transactions on Computer Aided Design, 1995.

    Google Scholar 

  69. Michael Keating and Pierre Bricaud, Reuse Methodology Manual for SoC Designs, Springer Science+Business Media New York, 1998.

    Book  Google Scholar 

  70. C. Mc Donald, Workshop on symbolic methods and applications to circuit design, October 1998.

    Google Scholar 

  71. T. Goust, M. Bartley, G. Barett and F. Rocheteau, “Formal methods accelerate circuit-level verification”, Electronics Journal, August 1998.

    Google Scholar 

  72. R.E. Bryant, “Graph based algorithms for Boolean function manipulation”, IEEE Transactions on Computers, 1986.

    Google Scholar 

  73. R.E. Bryant, “Extraction of gate level models from transistor circuits by four-valued symbolic analysis”, in International Conference on Computer-Aided Design, pp. 350–353, 1991.

    Google Scholar 

  74. R.E. Bryant, “Boolean Analysis of MOS circuits”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 634–649, July 1992.

    Google Scholar 

  75. Bazargan Sabet Pirouz and Greiner Alain, “YAGLE: a Second generation Functional Abstractor for CMOS VLSI Circuits Lester Anthony”, in 10th International Conference on Microelectronics, pp. 265–268, December 1998.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2003 Springer Science+Business Media New York

About this chapter

Cite this chapter

Harjani, R., Magarshack, P., Mas, G., Rutenbar, R.A. (2003). Highlights in Analog and Digital Circuit Design and Synthesis at ICCAD. In: Kuehlmann, A. (eds) The Best of ICCAD. Springer, Boston, MA. https://doi.org/10.1007/978-1-4615-0292-0_21

Download citation

  • DOI: https://doi.org/10.1007/978-1-4615-0292-0_21

  • Publisher Name: Springer, Boston, MA

  • Print ISBN: 978-1-4613-5007-1

  • Online ISBN: 978-1-4615-0292-0

  • eBook Packages: Springer Book Archive

Publish with us

Policies and ethics