Skip to main content

Introduction

  • Chapter
  • First Online:

Abstract

In this chapter, we first define some terminology that we will be using throughout this book. Then, we talk about the common performance evaluation metrics for a Network-on-Chip (NoC), followed by its design aspects. Next, we motivate the need for designing a fast NoC. After this, we briefly introduce our high-speed clock distribution schemes followed by our fast source synchronous NoC design. Finally, we briefly introduce our work on sinusoidal based on-chip data transfer.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  • N. R. Adiga, M. A. Blumrich, D. Chen, P. Coteus, A. Gara, M. E. Giampapa, P. Heidelberger, S. Singh, B. D. Steinmacher-Burow, T. Takken, M. Tsao, and P. Vranas, “Blue Gene/L torus interconnection network,” IBM J. Res. Dev., vol. 49, no. 2, pp. 265–276, Mar. 2005.

    Article  Google Scholar 

  • O.T.-C. Chen and R.R.-B. Sheen, “A power-efficient wide-range phase-locked loop,” Solid-State Circuits, IEEE Journal of, vol. 37, no. 1, pp. 51–62, Jan 2002.

    Google Scholar 

  • W. J. Dally and C. L. Seitz, “The Torus Routing Chip,” The Journal of Distributed Computing, vol. 1(3), pp. 187–196, 1986.

    Google Scholar 

  • W.J. Dally and B. Towles, “Route packets, not wires: on-chip interconnection networks,” in Design Automation Conference, 2001. Proceedings, 2001, pp. 684–689.

    Google Scholar 

  • Jose Duato, Sudhakar Yalamanchili, and Ni Lionel, Interconnection Networks: An Engineering Approach, Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2002.

    Google Scholar 

  • A. Hajimiri, S. Limotyrakis, and T.H. Lee, “Jitter and phase noise in ring oscillators,” Solid-State Circuits, IEEE Journal of, vol. 34, no. 6, pp. 790–804, Jun 1999.

    Article  Google Scholar 

  • Yamagata Hiroshi, Yamada Takaaki, “Digital voltage controlled oscillator having a ring oscillator with selectable output taps,” August 1993.

    Google Scholar 

  • G.S. Jovanovic and M. K. Stojcev, “Current starved delay element with symmetric load,” International Journal of Electronics, vol. 93, no. 3, pp. 167–175, March 2006.

    Article  Google Scholar 

  • F. Karim, A. Nguyen, and S. Dey, “An interconnect architecture for networking systems on chips,” Micro, IEEE, vol. 22, no. 5, pp. 36–45, Sep/Oct 2002.

    Google Scholar 

  • Parviz Kermani and Leonard Kleinrock, “Virtual cut-through: a new computer communication switching technique,” Computer Networks, vol. 3, pp. 267–286, 1979.

    MathSciNet  MATH  Google Scholar 

  • J. Kim, J. Balfour, and W.J. Dally, “Flattened butterfly topology for on-chip networks,” Computer Architecture Letters, vol. 6, no. 2, pp. 37–40, Feb.2007.

    Article  Google Scholar 

  • Woo-Cheol Kwon, Sungjoo Yoo, Junhyung Um, and Seh-Woong Jeong, “In-network reorder buffer to improve overall noc performance while resolving the in-order requirement problem,” in Design, Automation Test in Europe Conference Exhibition, 2009. DATE '09., April 2009, pp. 1058–1063.

    Google Scholar 

  • Charles E. Leiserson, “Fat-trees: universal networks for hardware-efficient supercomputing,” IEEE Trans. Comput., vol. 34, pp. 892–901, October 1985.

    Article  Google Scholar 

  • Daniel Lenoski, James Laudon, Kourosh Gharachorloo, Wolf dietrich Weber, Anoop Gupta, John Hennessy, Mark Horowitz, Monica S. Lam, and Dash The Ease of use, “The Stanford DASH multiprocessor”, IEEE Computer, 1992, 25, pp. 63–79.

    Google Scholar 

  • A. Megej, K. Beilenhoff, and H.L. Hartnagel, “Fully monolithically integrated feedback voltage controlled oscillator [using PHEMTs],” Microwave and Guided Wave Letters, IEEE, vol. 10, no. 6, pp. 239–241, Jun 2000.

    Article  Google Scholar 

  • Matthias M. Muller, Thomas M. Warschko, and Walter F. Tichy, “Prefetching on the Cray-T3E,” in Proceedings of the 12th international conference on Supercomputing, New York, NY, USA, 1998, ICS '98, pp. 361–368, ACM.

    Google Scholar 

  • H. Samuelsson and S. Kumar, “Ring Road NoC architecture,” in Norchip, 2004, pp. 16–19.

    Google Scholar 

  • Balasubramanian Sethuraman, Prasun Bhattacharya, Jawad Khan, and Ranga Vemuri, “Lipar: A light-weight parallel router for fpga-based networks-on-chip,” in Proceedings of the 15th ACM Great Lakes symposium on VLSI, New York, NY, USA, 2005, GLSVLSI '05, pp. 452–457, ACM.

    Google Scholar 

  • A. Tasic, W.A. Serdijn, and J.R. Long, “Adaptivity of voltage-controlled oscillators—theory and design,” Circuits and Systems I: Regular Papers, IEEE Transactions on, vol. 52, no. 5, pp. 894–901, May 2005.

    Article  MathSciNet  Google Scholar 

  • Michael Taylor, Michael Bedford Taylor, Walter Lee, Saman Amarasinghe, and Anant Agarwal, “Scalar Operand Networks: On-chip Interconnect for ILP in Partitioned Architectures,” in In International Symposium on High Performance Computer Architecture, 2002, pp. 341–353.

    Google Scholar 

  • M. Thamsirianunt and T.A. Kwasniewski, “CMOS VCO’s for PLL frequency synthesis in GHz digital mobile radio communications,” Solid-State Circuits, IEEE Journal of, vol. 32, no. 10, pp. 1511–1524, Oct 1997.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ayan Mandal .

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Mandal, A., Khatri, S., Mahapatra, R. (2014). Introduction. In: Source-Synchronous Networks-On-Chip. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-9405-8_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-9405-8_1

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-9404-1

  • Online ISBN: 978-1-4614-9405-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics