Skip to main content

Frontiers of Cu Electrodeposition and Electroless Plating for On-chip Interconnects

  • Chapter
  • First Online:
Copper Electrodeposition for Nanofabrication of Electronics Devices

Part of the book series: Nanostructure Science and Technology ((NST))

Abstract

In the electronics industry, interconnect is defined as a conductive connection between two or more circuit elements. It interconnects elements (transistor, resistors, etc.) on an integrated circuit or components on a printed circuit board. The main function of the interconnect is to contact the junctions and gates between device cells and input/output (I/O) signal pads. These functions require specific material properties. For performance or speed, the metallization structure should have low resistance and capacitance. For reliability, it is important to have the capability of carrying high current density, stability against thermal annealing, resistance against corrosion and good mechanical properties.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 89.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Vadasz LL, Grove AS, Rowe TA, Moore GE (1969) Silicon gate technology. IEEE Spectrum 6:28

    Article  CAS  Google Scholar 

  2. Solanki R, Pathangey B (2000) Atomic layer deposition of copper seed layers. Electrochem Solid State Lett 3:479

    Article  CAS  Google Scholar 

  3. Wang MT, Lin YC, Chen MC (1998) Barrier properties of very thin Ta and TaN layers against copper diffusion. J Electrochem Soc 145(7):2538

    Article  CAS  Google Scholar 

  4. Hu CK, Luther B, Kaufman FB, Hummel J, Uzoh C, Pearson DJ (1995) Copper interconnection integration and reliability. Thin Solid Films 262:84

    Article  CAS  Google Scholar 

  5. Andricacos PC, Uzoh C, Dukovic JO, Horkans J, Deligianni H (1998) Damascene copper electroplating for chip interconnections. IBM J Res Dev 42:567

    Article  CAS  Google Scholar 

  6. Kondo K, Hayashi K, Tanaka Z, Yamakawa N (2000) Role of damascene via filling additives—morphology evolution. ECS proceedings on electrochemical processing in ULSI fabrication 8:76

    Google Scholar 

  7. Kelly JJ, West AC (1999) Leveling of 200-nm features by organic additives. Electrochem Solid State Lett 2:561

    Article  CAS  Google Scholar 

  8. Healy JP, Pletcher D, Goodenough M (1992) The chemistry of the additives in an acid copper electroplating bath: part III. The mechanism of brightening by 4,5-dithia-octane-1, 8-disulphonic acid. J Electroanal Chem 338:179

    Article  Google Scholar 

  9. Abe K, Harada Y, Onoda H (1995) Sub-half micron copper interconnects using reflow of sputtered copper films. In: Proceedings of 13th Intern VLSI Multilevel Interconnect Conference, p 308

    Google Scholar 

  10. Cho JSH, Kang HK, Asano I, Wang SS (1992) CVD Cu interconnections for ULSI. In: IEDM Technical Digest, p 297

    Google Scholar 

  11. Josell D, Wheeler D, Moffat TP (2002) Superconformal Deposition by Surfactant-Catalyzed Chemical Vapor Deposition. Electrochem Solid State Lett 5:C44–C47

    Article  CAS  Google Scholar 

  12. International Technology Roadmap for Semiconductors (2011) update, http://www.itrs.net/Links/2011Winter/11_Interconnect.pdf. Accessed 13 Jul 2012

  13. Hu CK, Harper JME (1998) Copper interconnects and reliability. Mater Chem Phys 52:5

    Article  CAS  Google Scholar 

  14. Li B, Sullivan T, Lee T, Badami D (2004) Reliability challenges for copper interconnects. Microelectron Reliab 44:365

    Google Scholar 

  15. Armini S, Vereecken PM (2010) Impact of ‘Terminal Effect’ on Cu plating: theory and experimental evidence. ECS Transactions 25(27):185–194

    Article  CAS  Google Scholar 

  16. Groner MD, Fabreguette FH, Elam JW, George SM (2004) Low temperature Al2O3 atomic layer deposition. Chem Mater 16:639–645

    Article  CAS  Google Scholar 

  17. Kumar S, Xin HL, Ercius P, Muller DA, Eisenbraun E (2008) ALD growth of a mixed-phase novel barrier for seedless copper electroplating applications. IEEE 2008 International Interconnect Technology Conference (IITC 2008), Proceedings, pp. 96–98

    Google Scholar 

  18. Shin J, Waheed A, Agapiou K, Winkenwerder WA, Kim H, Jones RA, Hwang GS, Ekerdt JG (2006) Growth of ultra-thin films of amorphous ruthenium-phosphorus alloys using a single source CVD precursor. J Am Chem Soc 128:16510–16511

    Article  CAS  Google Scholar 

  19. Perng D-C, Yeh J-B, Hsu K-C, Wang Y-C (2010) 5 nm amorphous boron and carbon added Ru film as a highly reliable Cu diffusion barrier. Electrochem Solid State Lett 13(8):H290–H293

    Article  CAS  Google Scholar 

  20. Yeh J-B, Perng D-C, Hsu K-C (2010) Amorphous RuW film as a diffusion barrier for advanced Cu metallization. J Electrochem Soc 157(8):H810–H814

    Article  CAS  Google Scholar 

  21. Koike J, Wada M (2005) Self-forming diffusion barrier layer in Cu–Mn alloy metallization. Appl Phys Letts 87:041911

    Article  Google Scholar 

  22. Li B, Sullivan T, Lee T, Badami D (2004) Reliability challenges for copper interconnects. Microelectron Reliab 44:365

    Google Scholar 

  23. Hu C, Gignac L, Rosenberg R (2006) Electromigration of Cu/low dielectric constant interconnects. Microelectron Reliab 46:213

    Google Scholar 

  24. Hu CK, Gignac L, Rosenberg R, Liniger E, Rubino J, Sambucetti C, Stamper A, Domenicucci A, Chen X (2003) Reduced Cu interface diffusion by CoWP surface coating. Microelectron Eng 70:406

    Article  CAS  Google Scholar 

  25. Gambino J, Wynne J, Gill J, Mongeon S, Meatyard D, Lee B, Bamnolker H, Hall L, Li N, Hernandez M, Little P, Hamed M, Ivanov I, Gan C (2006) Self-aligned metal capping layers for copper interconnects using electroless plating. Microelectron Eng 83:2059

    Article  CAS  Google Scholar 

  26. Shacham-Diamand Y, Dubin V, Angyal M (1995) Electroless copper deposition for ULSI. Thin Solid Films 262:93

    Google Scholar 

  27. Hsu H–H, Lin K-H, Lin S-J, Yeh J-W (2001) Electroless copper deposition for ultralarge-scale integration. J Electrochem Soc 148:C47

    Article  CAS  Google Scholar 

  28. Dubin VM, Shacham-Diamand Y, Zhou B, Vasudev PK, Ting CH (1997) Selective and blanket electroless copper deposition for ultralarge scale integration. J Electrochem Soc 144:898

    Google Scholar 

  29. Shacham-Diamand YY (2000) Electroless copper deposition using glyoxylic acid as reducing agent for ultralarge scale integration metallization articles. Electrochem Solid State Lett 3:279

    Article  CAS  Google Scholar 

  30. Wang Z, Yaegashi O, Sakaue H, Takahagi T, Shingubara S (2003) Suppression of native oxide growth in sputtered TaN films and its application to Cu electroless plating. J Appl Phys 94:4697

    Article  CAS  Google Scholar 

  31. Patterson J, O’Reilly M, Crean GM, Barrett J (1997) Selective electroless copper metallization on a titanium nitride barrier layer. Microelectron Eng 33:65

    Article  CAS  Google Scholar 

  32. Shingubara S, Wang Z, Yaegashi O, Obata R, Sakaue H, Takahagi T (2004) Bottom-up fill of copper in deep submicrometer holes by electroless plating. Electrochem Solid State Lett 7:C78

    Article  CAS  Google Scholar 

  33. Lee C-H, Lee S-C, Kim J–J (2005) Bottom-up filling in Cu electroless deposition using bis-(3-sulfopropyl)-disulfide (SPS). Electrochim Acta 50:3563

    Article  CAS  Google Scholar 

  34. Lee C-H, Lee S-C, Kim J–J (2005) Improvement of electrolessly gap-filled Cu using 2, 2’-Dipyridyl and Bis-(3-sulfopropyl)-disulfide (SPS). Electrochem Solid State Lett 8:C110

    Article  CAS  Google Scholar 

  35. Wang Z, Obata R, Sakaue H, Takahagi T, Shingubara S (2006) Bottom-up copper fill with addition of mercapto alkyl carboxylic acid in electroless plating. Electrochim Acta 51:2442

    Article  CAS  Google Scholar 

  36. Hasegawa M, Yamachika N, Shacham-Diamand Y, Okinaka Y, Osaka T (2007) Evidence for “superfilling” of submicrometer trenches with electroless copper deposit. Appl Phys Lett 90:101916

    Article  Google Scholar 

  37. Lee C-H, Kim A-R, Koo H-C, Kim J-J (2009) Effect of 2-Mercapto-5-benzimidazolesulfonic acid in superconformal Cu electroless deposition. J Electrochem Soc 156:D207

    Article  CAS  Google Scholar 

  38. Yang Z, Wang X, Li N, Wang Z, Wang Z (2011) Design and achievement of a complete bottom-up electroless copper filling for sub-micrometer trenches. Electrochim Acta 56:3317

    Article  CAS  Google Scholar 

  39. Moffat TP, Wheeler D, Huber WH, Josell D (2001) Superconformal electrodeposition of copper. Electrochem Solid State Lett 4:C26

    Article  CAS  Google Scholar 

  40. Healy JP, Pletcher D, Goodenough M (1992) The chemistry of the additives in an acid copper electroplating bath: part I. Polyethylene glycol and chloride ion. J Electroanal Chem 338:155

    Article  CAS  Google Scholar 

  41. Paunovic M, Arndt R (1983) The effect of some additives on electroless copper deposition. J Electrochem Soc 130:794

    Article  CAS  Google Scholar 

  42. Paunovic M (1977) Ligand effects in electroless copper deposition. J Electrochem Soc 124:349

    Article  CAS  Google Scholar 

  43. Plana D, Campbell AI, Patole SN, Shul G, Dryfe RAW (2010) Kinetics of electroless deposition: the copper-dimethylamine borane system. Langmuir 26:10334

    Article  CAS  Google Scholar 

  44. Nagle LC, Rohan JF (2005) Investigation of DMAB oxidation at a gold microelectrode in base. Electrochem Solid State 8:C77

    Google Scholar 

  45. Nagle LC, Rohan JF (2006) Ammonia borane oxidation at gold microelectrodes in alkaline solutions. J Electrochem Soc 153:C773

    Google Scholar 

  46. Lim T, Koo H-C, Park K-J, Kim M-J, Kim S-K, Kim J–J (2012) Optimization of catalyzing process on Ta substrate for copper electroless deposition using electrochemical method. J Electrochem Soc 159:D142

    Article  CAS  Google Scholar 

  47. Kondo K, Matsumoto T, Watanabe K (2004) Role of additives for copper damascene electrodeposition: experimental study on inhibition and acceleration effects. J Electrochem Soc 151:C250

    Article  CAS  Google Scholar 

  48. Jin Y, Kondo K, Suzuki Y, Matsumoto T, Barkey DP (2005) Surface adsorption of PEG and Cl additives for copper damascene electrodeposition. Electrochem Solid State Lett 8:C6

    Article  CAS  Google Scholar 

  49. Kelly JJ, West AC (1998) Copper deposition in the presence of polyethylene glycol I. Quartz crystal microbalance study. J Electrochem Soc 145:3472

    Article  CAS  Google Scholar 

  50. Akolkar R, Landau U (2009) Mechanistic analysis of the “Bottom-Up” fill in copper interconnect metallization. J Electrochem Soc 156:D351

    Article  CAS  Google Scholar 

  51. Allen MP, Tildesley DJ (1989) Computer simulation of liquids. Oxford University Press, Oxford. ISBN 0-19-855645-4

    Google Scholar 

  52. Thompson D, unpublished results

    Google Scholar 

  53. Phillips JC, Braun R, Wang W, Gumbart J, Tajkhorshid E, Villa E, Chipot C, Skeel RD, Kale L, Schulten K (2005) Scalable molecular dynamics with NAMD. J Comput Chem 26:1781

    Article  CAS  Google Scholar 

  54. MacKerell AD, Bashford D, Bellott M, Dunbrack RL, Evanseck JD, Field MJ, Fischer S, Gao J, Guo H, Ha S, Joseph-McCarthy D, Kuchnir L, Kuczera K, Lau FTK, Mattos C, Michnick S, Ngo T, Nguyen DT, Prodhom B, Reiher WE, Roux B, Schlenkrich M, Smith JC, Stote R, Straub J, Watanabe M, Wiorkiewicz-Kuczera J, Yin D, Karplus M (1998) All-atom empirical potential for molecular modeling and dynamics studies of proteins. J Phys Chem B 102:3586

    Article  CAS  Google Scholar 

  55. Tasaki K (1996) Poly(oxyethylene)—water interactions: a molecular dynamics study. J Am Chem Soc 118:8459

    Article  CAS  Google Scholar 

  56. Nerngchamnong N, Li Y, Qi D, Jian L, Thompson D, Nijhuis CA (2013) The role of van der Waals forces in the performance of molecular diodes. Nat Nanotechnol 8:113–118

    Article  CAS  Google Scholar 

  57. Thompson D, Hermes JP, Quinn AJ, Mayor M (2012) Scanning the potential energy surface for synthesis of dendrimer-wrapped gold clusters: design rules for true single-molecule nanostructures. ACS Nano 6:3007

    Article  CAS  Google Scholar 

  58. Gannon G, Larsson JA, Greer JC, Thompson D (2010) Molecular dynamics study of naturally occurring defects in self-assembled monolayer formation. ACS Nano 4:921

    Article  CAS  Google Scholar 

  59. Vukovic L, Khatib FA, Drake SP, Madriaga A, Brandenburg KS, Kral P, Onyuksel H (2011) Structure and dynamics of highly PEG-ylated sterically stabilized micelles in aqueous media. J Am Chem Soc 133:13481 (For a recent example of computer simulations of highly PEG-ylated phospholipids in salt solutions)

    Google Scholar 

Download references

Acknowledgments

This work was part resourced by the Nano-EI project within CCAN—the Collaborative Centre for Applied Nanotechnology (www.ccan.ie), supported by Enterprise Ireland & IDA Ireland (grant no. CC/2009/0002). D. T. acknowledges financial support from Science Foundation Ireland (SFI; grant no. 11/SIRG/B2111).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to James F. Rohan .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Rohan, J.F., Thompson, D. (2014). Frontiers of Cu Electrodeposition and Electroless Plating for On-chip Interconnects. In: Kondo, K., Akolkar, R., Barkey, D., Yokoi, M. (eds) Copper Electrodeposition for Nanofabrication of Electronics Devices. Nanostructure Science and Technology. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-9176-7_5

Download citation

Publish with us

Policies and ethics