Skip to main content

‘expect’

  • Chapter
  • First Online:

Abstract

‘expect’ takes on the same syntax (not semantics) as ‘assert’ in a procedural block. Note that ‘expect’ must be used only in a procedural block. It cannot be used outside of a procedural block as in assert/property/sequence—but recall that ‘assert’ can be used both in the procedural block as well as outside. So, what’s the difference between ‘assert’ and ‘expect’?

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ashok B. Mehta .

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Mehta, A.B. (2014). ‘expect’ . In: SystemVerilog Assertions and Functional Coverage. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-7324-4_12

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-7324-4_12

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-7323-7

  • Online ISBN: 978-1-4614-7324-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics