Skip to main content

Case Study 1: DSIP Architecture Instance for MIMO Detection

  • Chapter
  • First Online:
Energy-Efficient Communication Processors

Abstract

In this chapter we demonstrate the high energy efficiency of the proposed Domain Specific Instruction set Processor (DSIP) architecture template with a case study on a challenging Multiple Input Multiple Output (MIMO) detector design. Section 4.1 motivates this case study and summarizes related work on MIMO detector implementations. MIMO detection and the flexibility requirements of this functional block are explained in Sect. 4.2. The previously applied algorithm optimizations and the characteristics of the considered algorithm are reviewed in Sect. 4.3. In Sect. 4.4, the DSIP architecture instance for MIMO detection is proposed. Software mapping and hardware implementation results are given in Sect. 4.5. Section 4.6 compares the results to Application Specific Integrated Circuit (ASIC) references and to other programmable implementations. Finally, Sect. 4.7 concludes this chapter.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Adeva, E.P., Shah, M.A., Mennenga, B., Fettweis, G.: VLSI architecture for soft-output tuple search sphere decoding. In: IEEE Workshop on Signal Processing Systems (SIPS), pp. 222–227 (2011). doi:10.1109/SiPS.2011.6088978

  2. Ahmad, U., Li, M., Pollin, S., Fasthuber, R., Van der Perre, L., Catthoor, F.: Bounded block parallel lattice reduction algorithm for MIMO-OFDM and its application in LTE MIMO receiver. In: IEEE Workshop on Signal Processing Systems (SIPS), pp. 168–173. IMEC (2010). doi:10.1109/SIPS.2010.5624784

  3. Ahmad, U., Amin, A., Li, M., Pollin, S., Van der Perre, L., Catthoor, F.: Scalable block-based parallel lattice reduction algorithm for an SDR baseband processor. In: IEEE International Conference on Communication (ICC). IMEC (2011)

    Google Scholar 

  4. Antikainen, J., Salmela, P., Silveny, O., Juntti, M., Takala, J., Myllyla, M.: Fine-grained application-specific instruction set processor design for the K-best list sphere detector algorithm. In: International Conference on Embedded Computer Systems (SAMOS), 1, pp. 108–115. IEEE (2008). doi:10.1109/ICSAMOS.2008.4664853

  5. Bhagawat, P., Dash, R., Choi, G.: Dynamically reconfigurable soft output MIMO detector. In: International Conference on Computer Design (ICCD), pp. 68–73 (2008). doi:10.1109/ICCD.2008.4751842

  6. Bhagawat, P., Dash, R., Choi, G.: Array like runtime reconfigurable MIMO detectors for 802.11n WLAN: A design case study. In: Asia and South Pacific Design Automation Conference (DAC-ASP), pp. 751–756 (2009). doi:10.1109/ASPDAC.2009.4796570

  7. Bougard, B., De Sutter, B., Rabou, S., Novo, D., Allam, O., Dupont, S., Van der Perre, L.: A Coarse-Grained array based Baseband Processor for 100Mbps+ Software defined radio. In: Design, Automation and Test in Europe (DATE), pp. 716–721. IMEC (2008). doi:10.1109/DATE.2008.4484763

  8. Bougard, B., Novo, D., Van der Perre, L., Catthoor, F.: Bridging the energy gap in size, weight and power constrained software defined radio: Agile baseband processing as a key enabler. In: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) pp. 5384–5387 (2008). doi:10.1109/ICASSP.2008.4518877

  9. Cerato, B., Viterbo, E.: Hardware implementation of a low-complexity detector for large MIMO. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 593–596 (2009). doi:10.1109/ISCAS.2009.5117818

  10. Chien-Jen, H., Chung-Wen, Y., Hsi-Pin, M.: A power-efficient configurable low-complexity MIMO detector. IEEE Trans. Circuits Syst. (TCAS) 56(2), 485–496 (2009). doi:10.1109/TCSI.2008.2001368

    Google Scholar 

  11. Chu, X., McAllister, J.: FPGA based soft-core SIMD processing: A MIMO-OFDM fixed-complexity sphere decoder case study. In: International Conference on Field-Programmable Technology (FPT), pp. 479–484 (2010). doi:10.1109/FPT.2010.5681463

  12. Corporaal, H.: Microprocessor Architectures: From VLIW to TTA. Wiley, Chichester (1998)

    Google Scholar 

  13. Cupaiuolo, T., Siti, M., Tomasoni, A.: Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector. In: Design, Automation and Test in Europe (DATE), pp. 1396–1401 (2010)

    Google Scholar 

  14. Dally, W.J., Balfour, J., Black-Shaffer, J.C., Harting, R.C., Parikh, V., Park, J., Sheffield, D.: Efficient embedded computing. Computer 41(7), 27–32 (2008)

    Article  Google Scholar 

  15. Eberli, S., Burg, A., Fichtner, W.: Implementation of a 2x2 MIMO-OFDM receiver on an application specific processor. Microelectron J 40(11), 1642–1649 (2009). doi:10.1016/j.mejo.2009.02.005

    Google Scholar 

  16. Fasthuber, R., Li, M., Novo, D., Van Der Perre, L., Catthoor, F.: Novel energy-efficient scalable soft-output SSFE MIMO detector architectures. In: International Conference on Embedded Computer Systems (IC-SAMOS). IMEC (2009). doi:10.1109/ICSAMOS.2009.5289228

  17. Fasthuber, R., Li, M., Novo, D., Van Der Perre, L., Catthoor, F.: Exploration of soft-output MIMO detector implementations on massive parallel processors. J. Sig. Process. Syst. (JSPS) 64(1), 75–92 (2010). doi:10.1007/s11265-010-0499-0

    Google Scholar 

  18. Fasthuber, R., Li, M., Novo, D., Raghavan, P., Van der Perre, L., Catthoor, F.: Energy-efficient run-time scalable soft-output SSFE MIMO detector architectures. Trans. High-Perform. Embed. Architect. Compilers (HiPEAC), (Special Issue SAMOS 2009) 5(3), 1–20 (2011)

    Google Scholar 

  19. Gestner, B., Zhang, W., Ma, X., Anderson, D.V.: Lattice reduction for MIMO detection: from theoretical analysis to hardware realization. IEEE Trans. Circuits Syst. (TCAS) 58(4), 813–826 (2011). doi:10.1109/TCSI.2010.2078670

    Google Scholar 

  20. Ghosh, A., Ratasuk, R., Mondal, B., Mangalvedhe, N., Thomas, T.: LTE-advanced: next-generation wireless broadband technology. IEEE Wireless Commun. 17(3), 10–22 (2010)

    Article  Google Scholar 

  21. Heidmann, N., Wiegand, T., Paul, S.: Architecture and FPGA-implementation of a high-throughput K+-Best detector. In: Design, Automation and Test in Europe (DATE) (2011)

    Google Scholar 

  22. Jafri, A., Karakolah, D., Baghdadi, A., Jezequel, M.: ASIP-based flexible MMSE-IC linear equalizer for MIMO turbo-equalization applications. In: Design, Automation and Test in Europe (DATE), pp. 1620–1625 (2009)

    Google Scholar 

  23. Janhunen, J., Silvén, O., Juntti, M.: Programmable processor implementations of K-best list sphere detector for MIMO receiver. Sig. Process. 90(1), 313–323 (2010). doi:10.1016/j.sigpro.2009.06.026

    Google Scholar 

  24. Janhunen, J., Pitkanen, T., Silven, O., Juntti, M.: Fixed- and floating-point processor comparison for MIMO-OFDM detector. IEEE J. Sel. Top. Sig. Process. (JSTSP) 5(8), 1588–1598 (2011). doi:10.1109/JSTSP.2011.2165830

    Google Scholar 

  25. Jung, Y., Kim, J.: Low-power low-complexity MIMO-OFDM baseband processor for wireless LANs. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 601–604 (2009). doi:10.1109/ISCAS.2009.5117820

  26. Kim, T.H., Park, I.C.: Small-area and low-energy K-best MIMO detector using relaxed tree expansion and early forwarding. IEEE Trans. Circuits Syst. (TCAS) 57(10), 2753–2761 (2010). doi:10.1109/TCSI.2010.2046249

    Google Scholar 

  27. Kim, E.P., Shanbhag, N.R.: An energy-efficient multiple-input multiple-output (MIMO) detector architecture. In: IEEE Workshop on Signal Processing Systems (SIPS), pp. 239–244 (2011). doi:10.1109/SiPS.2011.6088981

  28. Knagge, G., Bickerstaff, M., Ninness, B., Weller, S.R., Woodward, G.: A VLSI 8x8 MIMO near-ML decoder engine. In: IEEE Workshop on Signal Processing Systems (SIPS), pp. 387–392 (2006). doi:10.1109/SIPS.2006.352614

  29. Li, M., Bougard, B., Xu, W., Novo, D., Van Der Perre, L., Catthoor, F.: Optimizing near-ML MIMO detector for SDR baseband on parallel programmable architectures. In: Design, Automation and Test in Europe (DATE), pp. 444–449 (2008). doi:10.1109/DATE.2008.4484721

  30. Li, M., Novo, D., Bougard, B., Naessens, F., Van Der Perre, L., Catthoor, F.: An implementation friendly low complexity multiplierless LLR generator for soft MIMO sphere decoders. In: IEEE Workshop on Signal Processing Systems (SIPS), pp. 118–123 (2008). doi:10.1109/SIPS.2008.4671748

  31. Li, M.: Algorithm and architecture co-design for software defined radio baseband. Ph.D. thesis, KU Leuven (2010)

    Google Scholar 

  32. Liao, C.H., Wang, T.P., Chiueh, T.D.: A 74.8 mW soft-output detector IC for 8x8 spatial-multiplexing MIMO communications. IEEE J. Solid-State Circuits (JSSC) 45(2), 411–421 (2010). doi:10.1109/JSSC.2009.2037292

    Google Scholar 

  33. Liao, C.F., Huang, Y.H.: Power-saving 4x4 lattice-reduction processor for MIMO detection with redundancy checking. IEEE Trans. Circuits Syst. (TCAS) 58(2), 95–99 (2011). doi:10.1109/TCSII.2010.2104013

    Google Scholar 

  34. Liu, L., Ye, F., Ma, X., Zhang, T., Ren, J.: A 1.1-Gb/s 115-pJ/bit configurable MIMO detector using 0.13um CMOS technology. IEEE Trans. Circuits Syst. (TCAS) 57(9), 701–705 (2010). doi:10.1109/TCSII.2010.2058494

    Google Scholar 

  35. Liu, T.H., Jiang, J.Y., Chu, Y.S.: A low-cost MMSE-SIC Detector for the MIMO system: algorithm and hardware implementation. IEEE Trans. Circuits Syst. (TCAS) 58(1), 56–61 (2011). doi:10.1109/TCSII.2010.2092819

    Google Scholar 

  36. Luo, D., Tsui, C.Y.: A hybrid algorithm and its re-configurable architecture for MIMO detector. In: IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), pp. 297–300 (2009). doi:10.1109/MWSCAS.2009.5236095

  37. Mahdavi, M., Shabany, M.: Ultra high-throughput architectures for hard-output MIMO detectors in the complex domain. In: IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), pp. 1–4 (2011). doi:10.1109/MWSCAS.2011.6026425

  38. Moezzi-Madani, N., Thorolfsson, T., Chiang, P., Davis, W.R.: Area-efficient antenna-scalable MIMO detector for K-best sphere decoding. J. Sig. Process. Syst. (JSPS) pp. 1–12 (2011). doi:10.1007/s11265-011-0595-9

    Google Scholar 

  39. Mohammed, K., Daneshrad, B.: A MIMO decoder accelerator for next generation wireless communications. IEEE Trans. Very Large Scale Integrated Systems (TVLSI) 18(11), 1544–1555 (2010). doi:10.1109/TVLSI.2009.2025590

    Google Scholar 

  40. Mohammed, K., Mohamed, M.I.A., Daneshrad, B.: A parameterized programmable MIMO decoding architecture with a scalable instruction set and compiler. IEEE Trans. Very Large Scale Integrated Systems (TVLSI) 19(8), 1485–1489 (2011). doi:10.1109/TVLSI.2010.2049592

    Google Scholar 

  41. Moudgill, M., Glossner, J., Agrawal, S., Nacer, G.: The sandblaster 2.0 architecture and SB3500 implementation. In: SDR Forum. Sandbridge Technologies (2008)

    Google Scholar 

  42. Patel, D., Smolyakov, V., Shabany, M., Gulak, P.G.: VLSI implementation of a WiMAX/LTE compliant low-complexity high-throughput soft-output K-Best MIMO detector. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 593–596 (2010). doi:10.1109/ISCAS.2010.5537524

  43. Pirsch, P.: Architectures for Digital Signal Processing. Wiley, New York (1998)

    Google Scholar 

  44. Portero, A., Talavera, G., Moreno, M., Carrabina, J., Catthoor, F.: Methodology for energy-flexibility space exploration and mapping of multimedia applications to single-processor platform Styles. IEEE Trans. Circuits Syst. Video Technol. (TCSVT) 21(8), 1027–1039 (2011). doi:10.1109/TCSVT.2011.2129750

    Google Scholar 

  45. Raghavan, P., Lambrechts, A., Jayapala, M., Catthoor, F., Verkest, D., Corporaal, H.: Very wide register: an asymmetric register file organization for low power embedded processors. In: Design, Automation and Test in Europe (DATE). IMEC (2007)

    Google Scholar 

  46. Raghavan, P., Lambrechts, A., Jayapala, M., Catthoor, F., Verkest, D.: Distributed loop controller for multi-threading in uni-threaded ILP architectures. IEEE Trans. Comput. (TC) 58(3), 311–321 (2009)

    Article  MathSciNet  Google Scholar 

  47. Shabany, M., Gulak, P.G.: A 675 Mbps, 4x4 64-QAM K-Best MIMO Detector in 0.13m CMOS. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 20(1), 135–147 (2010). doi:10.1109/TVLSI.2010.2090367

    Google Scholar 

  48. Shiun Lin, J., Hao Fang, S., Hsuan Jen, Y., Der Shieh, M.: Design of high-throughput MIMO detectors using sort-free and early-pruned techniques. In: IEEE TENCON Conference, pp. 1513–1516 (2010). doi:10.1109/TENCON.2010.5686145

  49. Studer, C., Burg, A., Bolcskei, H.: Soft-output sphere decoding: algorithms and VLSI implementation. IEEE J. Sel. Areas Commun. (JSAC) 26(2), 290–300 (2008). doi:10.1109/JSAC.2008.080206

    Google Scholar 

  50. Studer, C., Fateh, S., Seethaler, D.: A 757Mb/s 1.5 mm2 90nm CMOS soft-input soft-output MIMO detector for IEEE 802.11n. In: ESSCIRC, pp. 530–533 (2010). doi:10.1109/ESSCIRC.2010.5619760

  51. Sun, Y., Cavallaro, J.R.: High-throughput soft-output MIMO detector based on path-preserving trellis-search algorithm. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 20(7), 1235–1247 (2012). doi:10.1109/TVLSI.2011.2147811

    Google Scholar 

  52. Tae-Hwan, K., In-Cheol, P.: A 2.6Gb/s 1.56mm2 near-optimal MIMO detector in 0.18m CMOS. In: IEEE Custom Integrated Circuits Conference (CICC), pp. 1–4 (2010). doi:10.1109/CICC.2010.5617463

  53. Texas Instruments: TMS320C6416 Datasheet, Rev. M (2009). http://www.ti.com/

  54. Tsai, P.Y., Chen, W.T., Lin, X.C., Huang, M.Y.: A 4x4 64-QAM reduced-complexity K-best MIMO detector up to 1.5Gbps. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3953–3956 (2010). doi:10.1109/ISCAS.2010.5537675

  55. Witte, E.M., Borlenghi, F., Ascheid, G., Leupers, R., Meyr, H.: A Scalable VLSI architecture for soft-input soft-output single tree-search sphere decoding. IEEE Trans. Circuits Syst. (TCAS) 57(9), 706–710 (2010). doi:10.1109/TCSII.2010.2056014

    Google Scholar 

  56. Wu, D., Eilert, J., Liu, D.: A programmable lattice-reduction aided detector for MIMO-OFDMA. In: International Conference on Circuits and Systems for Communications (ICCSC), pp. 293–297 (2008). doi:10.1109/ICCSC.2008.68

  57. Wu, D., Eilert, J., Liu, D.: Implementation of a high-speed MIMO soft-output symbol detector for software defined radio. J. Sig. Process. Syst. (JSPS) (2009). doi:10.1007/s11265-009-0369-9

    Google Scholar 

  58. Wu, D., Eilert, J., Asghar, R., Liu, D.: VLSI Implementation of a fixed-complexity soft-output MIMO detector for high-speed wireless. J. Wireless Commun. Netw. (EURASIP) 2010, 1–14 (2010). doi:10.1155/2010/893184

    Google Scholar 

  59. Wu, M., Sun, Y., Gupta, S., Cavallaro, J.R.: Implementation of a high throughput soft MIMO detector on GPU. J. Sig. Process. Syst. (JSPS) 64(1), 123–136 (2011). doi:10.1007/s11265-010-0523-4

    Google Scholar 

  60. Yoshizawa, S., Ikeuchi, H., Miyanaga, Y.: Scalable pipeline architecture of MMSE MIMO detector for 4x4 MIMO-OFDM receiver. In: IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2534–2537 (2010). doi:10.1109/ISCAS.2010.5537108

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Robert Fasthuber .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Fasthuber, R., Catthoor, F., Raghavan, P., Naessens, F. (2013). Case Study 1: DSIP Architecture Instance for MIMO Detection. In: Energy-Efficient Communication Processors. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4992-8_4

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4992-8_4

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4991-1

  • Online ISBN: 978-1-4614-4992-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics