Skip to main content

Introduction and Motivation

  • Chapter
  • First Online:

Abstract

This chapter describes the context, reviews the research challenges and motivates the proposed design approach of this book. In addition, an overview of the main contributions and the structure of this book are provided. Section 1.1 describes the trend of smartphone solutions and motivates the need for highly energy-efficient Software-Defined Radios (SDR)s. The research challenges for enabling highly energy-efficient SDRs are explained in Sect. 1.2. To tackle the research challenges, we combine several key concepts, which are reviewed in Sect. 1.3. At the same time the main related gaps in the state of the art are summarized. An overview of the overall proposed design approach of this book is provided in Sect. 1.4. The main focus and the contributions of this book are summarized in Sect. 1.5. Section 1.6 describes the structure of this book.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. Agarwal, M., Paul, B.C., Zhang, M., Mitra, S.: Circuit failure prediction and its application to transistor aging. In: IEEE VLSI Test Symposium (VTS), pp. 277–286 (2007). doi:10.1109/VTS.2007.22

  2. Albelo, J.: Laser applications in advanced IC packaging. ELECTROIQ (2007). http://www.electroiq.com/articles/ap/print/volume-16/issue-5/features/laser-applications-in-advanced-ic-packaging.html

  3. Amdocs Survey: Time to market grows in importance. Amdocs (2011). http://www.amdocs.com/News/Pages/TimetoMarketSurvey-2011.aspx

  4. Anjum, O., Ahonen, T., Garzia, F., Nurmi, J., Brunelli, C., Berg, H.: State of the art baseband DSP platforms for software defined radio: a survey. EURASIP J. Wireless Commun. Netw. 2011(1), 5 (2011). doi:l10.1186/1687-1499-2011-5

  5. Bagheri, R., Mirzaei, A., Heidari, M., Chehrazi, S., Mikhemar, M., Tang, W., Abidi, A.: Software-defined radio receiver: dream to reality. IEEE Commun. Mag. 44(8), 111–118 (2006). doi:10.1109/MCOM.2006.1678118

    Google Scholar 

  6. Ballhaus, W., Pagella, A., Vogel, C.: A change of pace for semiconductor industry? PricewaterhouseCoopers. In: Technology, Media and Telecommunications (2009)

    Google Scholar 

  7. Baykas, T., Sum, C.S., Lan, Z., Wang, J., Rahman, M., Harada, H., Kato, S.: IEEE 802.15.3c: the first IEEE wireless standard for data rates over 1 Gb/s. IEEE Commun. Mag. 49(7), 114–121 (2011). doi:10.1109/MCOM.2011.5936164

  8. Berset, T., Catthoor, F.: Technical note TN-11-WATS-TIP2: high level estimation for independent component analysis. Technical report, Holst Centre, IMEC-NL (2012)

    Google Scholar 

  9. Bougard, B., De Sutter, B., Rabou, S., Novo, D., Allam, O., Dupont, S., Van der Perre, L.: A coarse-grained array based baseband processor for 100Mbps+ Software defined radio. In: Design, Automation and Test in Europe (DATE), pp. 716–721, IMEC (2008). doi:10.1109/DATE.2008.4484763

  10. Bougard, B., Novo, D., Van der Perre, L., Catthoor, F.: Bridging the energy gap in size, weight and power constrained software defined radio: Agile baseband processing as a key enabler. In: IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) pp. 5384–5387 (2008). doi:10.1109/ICASSP.2008.4518877

  11. Carvalho, E.L.d.S., Calazans, N.L., Moraes, F.G.: Dynamic task mapping for MPSoCs. In: IEEE Des. Test Comput. 27(5), 26–35 (2010). doi:10.1109/MDT.2010.106

  12. CEVA Inc.: CEVA-XC321 product note (2009). http://www.ceva-dsp.com/

  13. Chandra, V.: Dependable design in nanoscale CMOS technologies: challenges and solutions. In: Workshop on Dependable and Secure Nanocomputing (WDSN), ARM (2009)

    Google Scholar 

  14. Chandra, A.: Spectrum management for future generation wireless based technology. In: European Wireless Technology Conference (EuWIT), pp. 201–205 (2009)

    Google Scholar 

  15. Cheng, Y.: A glance of technology efforts for design-for-manufacturing in nano-scale CMOS processes. Sci. China Series F: Inf. Sci. 51(6), 807–818 (2008). doi:10.1007/s11432-008-0054-9

  16. Cheng, R.: CES 2012 highlights: hallelujah! samsung promises all-day battery life on phones, CNET (2012). http://ces.cnet.com/8301-33363_1-57358219/

  17. Chinnery, D., Keutzer, K.: Closing the Power Gap between ASIC & Custom: Tools and Techniques for Low Power Design. Springer, New York (2007)

    Google Scholar 

  18. Coussy, P., Gajski, D.D., Meredith, M., Takach, A.: An introduction to high-level synthesis. In: IEEE Des. Test Comput. 26(4), 8–17 (2009). doi:10.1109/MDT.2009.69

  19. Cupaiuolo, T., Siti, M., Tomasoni, A.: Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector. In: Design, Automation and Test in Europe (DATE), pp. 1396–1401 (2010)

    Google Scholar 

  20. Dally, W.J., Balfour, J., Black-Shaffer, J.C., Harting, R.C., Parikh, V., Park, J., Sheffield, D.: Efficient embedded computing. Computer 41(7), 27–32 (2008)

    Article  Google Scholar 

  21. De Micheli, G.: Logic synthesis and physical design: Quo vadis? In: Design, Automation and Test in Europe (DATE), pp. 1–1. EPFL (2011)

    Google Scholar 

  22. Duan, R., Bi, M., Gniady, C.: Exploring memory energy optimizations in smartphones. In: International Green Computing Conference and Workshops (IGCC), pp. 1–8 (2011). doi:10.1109/IGCC.2011.6008591

  23. Ernst, D., Das, S., Lee, S., Blaauw, D., Austin, T., Mudge, T., Flautner, K.: Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro 24(6), 10–20 (2004). doi:10.1109/MM.2004.85

    Google Scholar 

  24. Faintuch, A., Machness, R.: Trends and Challenges for Wireless Mobile Connectivity. Texas Instruments. EE Times (2008). http://www.eetimes.com/design/microwave-rf-design/4018989/

  25. Fasthuber, R., Li, M., Novo, D., Raghavan, P., Van der Perre, L., Catthoor, F.: A soft-output near-ML MIMO baseband receiver For 75Mbps+ SDR. ICT Mobilesummit. In: IMEC (2009)

    Google Scholar 

  26. Fasthuber, R., Li, M., Novo, D., Van Der Perre, L., Catthoor, F.: Novel energy-efficient scalable soft-output SSFE MIMO detector architectures. In: International Conference on Embedded Computer Systems (IC-SAMOS). IMEC (2009). doi:10.1109/ICSAMOS.2009.5289228

  27. Fasthuber, R., Li, M., Novo, D., Van Der Perre, L., Catthoor, F.: Exploration of soft-output MIMO detector implementations on massive parallel processors. J. Sig. Proc. Syst. (JSPS) 64(1), 75–92 (2010). doi:10.1007/s11265-010-0499-0

    Google Scholar 

  28. Fasthuber, R., Li, M., Novo, D., Raghavan, P., Van der Perre, L., Catthoor, F.: Energy-efficient run-time scalable soft-output SSFE MIMO detector architectures. Trans. High-Perform Embed Architect Compilers (HiPEAC) (Special Issue SAMOS 2009) 5(3), 1–20 (2011)

    Google Scholar 

  29. Fasthuber, R., Raghavan, P., Catthoor, F.: A programmable low energy massive-parallel architecture for wireless communication systems. In: Workshop in DATE: Designing for Embedded Parallel Computing Platforms (Architecture Session) (2011)

    Google Scholar 

  30. Fasthuber, R., Raghavan, P., Catthoor, F.: An highly-efficient processor template for wireless communication systems. In: DATE PhD Forum (2012)

    Google Scholar 

  31. Fasthuber, R., Agrawal, P., Raghavan, P., Catthoor, F., Van der Perre, L.: A novel energy efficient wireless domain specific processor template. IEEE Trans. Circuits Syst. (TCAS) (2013, in preparation)

    Google Scholar 

  32. Fasthuber, R., Raghavan, P., Catthoor, F.: A scalable MIMO detector processor with near-ASIC energy-efficiency. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) (2013)

    Google Scholar 

  33. Fasthuber, R., Raghavan, P., Catthoor, F.: An enhancement for enabling variable multiplications on a general shift-add/sub datapath. (2013, in preparation)

    Google Scholar 

  34. Fasthuber, R., Raghavan, P., Van Thillo, W., Catthoor, F.: An energy-efficient software SIMD processor for very high throughput 60 GHz PHY FIR. J. Sig. Proc. Syst. (JSPS) (2013, in preparation)

    Google Scholar 

  35. Freescale Semiconductor Inc.: Star Core MSC8158 Product Brief, Rev. 0 (2010). http://www.freescale.com/

  36. Glossner, J., Iancu, D., Moudgill, M., Schulte, M., Vassiliadis, S.: Trends in low power handset software defined radio. In: Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS) (2007)

    Google Scholar 

  37. Gonzalez, R.: Xtensa: a configurable and extensible processor. IEEE Micro 20(2), 60–70 (2000). doi:10.1109/40.848473

    Google Scholar 

  38. Guan, X., Fei, Y., Lin, H.: Hierarchical design of an application-specific instruction set processor for high-throughput and scalable FFT processing. IEEE Trans. Very Large Scale Integr. Syst. (TVLSI) 20(3), 551–563 (2012). doi:10.1109/TVLSI.2011.2105512

  39. Gupta, R.: The variability expeditions: exploring the software stack for underdesigned computing machines. Qualcomm, UCSD (2011)

    Google Scholar 

  40. Hansen, C.: WiGiG: multi-gigabit wireless communications in the 60 GHz band. IEEE Wireless Commun. 18(6), 6–7 (2011). doi:10.1109/MWC.2011.6108325

  41. Instruments, T.: SPRU731A: TMS320C62x DSP CPU and instruction set reference guide (2010)

    Google Scholar 

  42. INVOMEC, Division in IMEC, B-3001 Leuven, Belgium

    Google Scholar 

  43. IRC: ITRS roadmap on design (2009)

    Google Scholar 

  44. IRC: ITRS roadmap on interconnect (2009)

    Google Scholar 

  45. IRC: ITRS roadmap on lithography (2009)

    Google Scholar 

  46. Itoh, M., Higaki, S., Sato, J., Shiomi, A., Takeuchi, Y., Kitajima, A., Imai, M.: PEAS-III: an ASIP design environment. In: International Conference on Computer Design (ICCD), pp. 430–436 (2000). doi:10.1109/ICCD.2000.878319

  47. Janhunen, J., Silvén, O., Juntti, M.: Programmable processor implementations of K-best list sphere detector for MIMO receiver. Sig. Process. 90(1), 313–323 (2010). doi:10.1016/j.sigpro.2009.06.026

  48. Jones, H.: Feature dimension reduction slowdown. International Business Strategies Inc. EE Times (2012). http://www.eetimes.com/discussion/other/4238315/Feature-dimension-reduction-slowdown/

  49. Kahng, A.: Design technology productivity in the DSM era. In: Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 443–448 (2001). doi:10.1109/ASPDAC.2001.913348

  50. Karuri, K., Leupers, R.: Application Analysis Tools for ASIP Design: Application Profiling and Instruction-Set Customization. Springer, New York (2011)

    Google Scholar 

  51. Keutzer, K., Malik, S., Newton, A.: From ASIC to ASIP: the next design discontinuity. In: IEEE International Conference on Computer Design (ICCD), pp. 84–90 (2002). doi:10.1109/ICCD.2002.1106752

  52. Kneckt, J., Alanen, O., Chang, Z., Huovinen, T., Nihtila, T.: IEEE 802.11ac: Enhancements for very high throughput WLANs. In: IEEE International Symposium on Personal, Indoor and Mobile Radio Comm. (PIMRC), pp. 849–853 (2011). doi:10.1109/PIMRC.2011.6140087

  53. Li, M.: Algorithm and architecture co-design for software defined radio baseband. Ph.D. thesis, KU Leuven (2010)

    Google Scholar 

  54. Li, M., Fasthuber, R., Novo, D., Van Der Perre, L., Catthoor, F.: Algorithm-architecture co-design of soft-output ML MIMO detector for parallel application specific instruction set processors. In: Design, Automatin and Test in Europe (DATE), pp. 1608–1613. IMEC (2009)

    Google Scholar 

  55. Li, M., Appeltans, R., Amin, A., Torrea-Duran, R., Cappelle, H., Hartmann, M., Yomo, H., Kobayashi, K., Dejonghe, A., Van Der Perre, L.: Overview of a software defined downlink inner receiver for category-E LTE-advanced UE. In: IEEE International Conference on Communication (ICC), pp. 1–5 (2011). doi:10.1109/icc.2011.5963387

  56. Liu, D., Nilsson, A., Tell, E., Wu, D., Eilert, J.: Bridging dream and reality: programmable baseband processors for software-defined radio. IEEE Commun. Mag. 47(9), 134–140 (2009). doi:10.1109/MCOM.2009.5277467

    Google Scholar 

  57. McKernan, E.: Intel’s first 14nm Chip NOT an x86 processor. The semiconductor wiki project (2012). http://www.semiwiki.com/forum/content/1112-intel92s-first-14nm-chip-will-not-x86-processor.html

  58. Meyr, H.: System-on-chip for communications: the dawn of ASIPs and the dusk of ASICs. In: IEEE Workshop on Signal Processing Systems (SIPS), pp. 4–5 (2003). doi:DOIurl10.1109/SIPS.2003.1235634

    Google Scholar 

  59. Miniskar, N.R., Hammari, E., Munaga, S., Mamagkakis, S., Kjeldsberg, P.G., Catthoor, F.: Scenario based mapping of dynamic applications on MPSoC: a 3D graphics case study. In: International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), pp. 48–57 (2009). doi:10.1007/978-3-642-03138-0_6

    Google Scholar 

  60. Mollick, E.: Establishing Moore’s law. IEEE Ann. Hist. Comput. 28(3), 62–75 (2006). doi:10.1109/MAHC.2006.45

    Google Scholar 

  61. Munaga, S., Catthoor, F.: Systematic design principles for cost-effective hard constraint management in dynamic nonlinear systems. Int. J. Adapt. Resilient Auton. Syst. (IJARAS) 2(1), 18–45 (2011)

    Google Scholar 

  62. Nigam, T.: Scaling to the final frontier: reliability challenges in sub 20 nm technologies. In: IEEE International Integrated Reliability Workshop (IIRW), pp. xi–xi (2011). doi:10.1109/IIRW.2011.6142574

  63. Niknejad, A.: Siliconization of 60 GHz. IEEE Microw. Mag. 11(1), 78–85 (2010). doi:10.1109/MMM.2009.935209

  64. Picochip: PC205 product brief (2009). http://www.picochip.com/

  65. Portero, A., Talvera, G., Catthoor, F., Carrabina, J.: A study of a MPEG-4 codec in a multiprocessor platform. In: International Symposium on Industrial Electronics (ISIE), pp. 661–666 (2006). doi:10.1109/ISIE.2006.295539

  66. Portero, A., Talavera, G., Moreno, M., Carrabina, J., Catthoor, F.: Methodology for energy-flexibility space exploration and mapping of multimedia applications to single-processor platform styles. IEEE Trans. Circuits Syst. Video Technol. (TCSVT) 21(8), 1027–1039 (2011). doi:10.1109/TCSVT.2011.2129750

    Google Scholar 

  67. Rabaey, J.M., Rabaey, J.M.: Silicon platforms for the next generation wireless systems—What role does...In: International Workshop on Field-Programmable Logic and Applications (FPL), pp. 277–285 (2000)

    Google Scholar 

  68. Ramacher, U.: Software-defined radio prospects for multistandard mobile phones. Computer 40(10), 62–69 (2007)

    Article  Google Scholar 

  69. Sanyal, A., Rastogi, A., Chen, W., Kundu, S.: An efficient technique for leakage current estimation in nanoscaled CMOS circuits incorporating self-loading effects. IEEE Trans. Comput. (TC) 59(7), 922–932 (2010). doi:10.1109/TC.2010.75

    Google Scholar 

  70. Sawahashi, M., Kishiyama, Y., Taoka, H., Tanno, M., Nakamura, T.: Broadband radio access: LTE and LTE-advanced. In: International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS), pp. 224–227 (2009). doi:10.1109/ISPACS.2009.5383862

  71. Silicon Hive: HiveFlex CSP family (2010). http://www.siliconhive.com/

  72. Stotas, S., Nallanathan, A.: On the throughput and spectrum sensing enhancement of opportunistic spectrum access cognitive radio networks. IEEE Trans. Wireless Commun. (TWC) 11(1), 97–107 (2012). doi:10.1109/TWC.2011.111611.101716

    Google Scholar 

  73. Strojwas, A.J.: Cost effective scaling to 22nm and below technology nodes. In: International Symposium on VLSI Technology, Systems and Applications (VTSA), pp. 1–2. IEEE (2011). doi:10.1109/VTSA.2011.5872265

  74. Trends in Semiconductor Scaling. International business strategies. http://www.internationalbusinessstrategies.com/

  75. van Berkel, C.: Multi-core for mobile phones. In: Design, Automation and Test in Europe (DATE), pp. 1260–1265 (2009)

    Google Scholar 

  76. Woh, M., Mahlke, S., Mudge, T., Chakrabarti, C.: Mobile supercomputers for the next-generation cell phone. Computer 43(1), 81–85 (2010). doi:10.1109/MC.2010.16

    Google Scholar 

  77. Zhou, F., Lv, Y.: Analysis of the 4G technologic requirements and key technology. In: International Symposium on IT in Medicine and Education (ITIME), pp. 494–497 (2011). doi:10.1109/ITiME.2011.6132157

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Robert Fasthuber .

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Fasthuber, R., Catthoor, F., Raghavan, P., Naessens, F. (2013). Introduction and Motivation. In: Energy-Efficient Communication Processors. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4992-8_1

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4992-8_1

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4991-1

  • Online ISBN: 978-1-4614-4992-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics