Skip to main content

Power-Gating for Leakage Control and Beyond

  • Chapter
  • First Online:

Abstract

The need of reliable nanometric integrated circuits is driving the EDA community to develop new automated design techniques in which power consumption and variability are central objectives of the optimization flow.

Although several Design-for-Low-Power and Design-for-Variability options are already available in modern EDA suites, the contrasting nature of the two metrics makes their integration extremely challenging. Most of the approaches used to compensate and/or mitigate circuit variability (e.g., Dynamic Voltage Scaling and Adaptive Body Biasing) are, in fact, intrinsically power inefficient, as they exploit the concept of redundancy, which is known to originate power overhead.

In this work, we introduce possible solutions for concurrent leakage minimization and variability compensation. More specifically, we propose Power-Gating as a mean for simultaneously controlling static power consumption and mitigating the effects induced by two of the most insidious sources of variability, namely, Process Variations (PV) due to uncertainties in the manufacturing and Transistor Aging due to Negative Bias Temperature Instability (NBTI).

We show that power-gating, when implemented through the insertion of dedicated switches (called sleep transistors), has a double effect: On one hand, when sleep transistors are enhanced with tunable features, it acts as a natural supply-voltage regulator, which implements a control knob for PV compensation; on the other hand, during the idle periods, it makes the circuits immune to NBTI-induced aging.

We describe optimization techniques for the integration of a new concept of power-gating into modern sub-45 nm design flows, that is, Variation-Aware Power-Gating. The experimental results we have obtained are extremely promising, since they show 100 % timing yield under the presence of PV and circuit lifetime extension of more than 5× in the presence of NBTI.

This is a preview of subscription content, log in via an institution.

Buying options

Chapter
USD   29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD   84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD   129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD   109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Learn about institutional subscriptions

References

  1. M. Alam (2008) “Reliability- and process-variation aware design of integrated circuits,” in Microelectronics Reliability, 48(8):1114–1122.

    Google Scholar 

  2. M. Anis, S. Areibi, M. Elmasry (2003) “Design and optimization of multi-threshold CMOS (MTCMOS) circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 22(10):1324–1342.

    Article  Google Scholar 

  3. P. Babighian, L. Benini, A. Macii, E. Macii (2006) “Enabling fine-grain leakage management by voltage anchor insertion,” IEEE Design, Automation and Test in Europe (DATE’06), pp. 868–873.

    Google Scholar 

  4. D. Boning, S. Nassif (2000) “Models of process variations in device and interconnect,” in Design of High Performance Microprocessor Circuits, Wiley.

    Google Scholar 

  5. S. Borkar (2005) “Designing reliable systems from unreliable components: The challenges of transistor variability and degradation,” IEEE Micro, 25(6):10–16.

    Article  Google Scholar 

  6. S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, V. De (2003) “Parameter variations and impact on circuits and microarchitecture,” ACM/IEEE Design Automation Conference (DAC’03), pp. 338–342.

    Google Scholar 

  7. A. Calimera, A. Pullini, A. Sathanur, L. Benini, A. Macii, E. Macii, M. Poncino (2007) “Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology,” ACM/IEEE Great Lakes Symposium on VLSI (GLSVLSI’07), pp.501–504.

    Google Scholar 

  8. A. Calimera, L. Benini, A. Macii, E. Macii, M. Poncino (2009) “Design of a flexible reactivation cell for safe power-mode transition in power-gated circuits,” IEEE Transaction on Circuits and Systems - Part I, Regular Papers, 56(9):1979–1993.

    Article  MathSciNet  Google Scholar 

  9. A. Calimera, E. Macii, M. Poncino (2009) “NBTI-aware power gating for concurrent leakage and aging optimization,” International Symposium on Low Power Electronics and Design (ISLPED’09), pp. 127–132.

    Google Scholar 

  10. A. Calimera, E. Macii, M. Poncino (2010) “NBTI-aware clustered power gating,” ACM Transactions on Design Automation of Electronic Systems, 16(1):3.1–3.25.

    Article  Google Scholar 

  11. L. Changbo, L. He (2004) “Distributed sleep transistor network for power reduction,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12(9):937–946.

    Article  Google Scholar 

  12. Z. Chen, K. Hess, J. Lee, J. Lyding, E. Rosenbaum, I. Kizilyalli, S. Chetlur, R. Huang (2000) “On the mechanism for interface trap generation in mos transistors due to channel hot carrier stressing,” IEEE Electron Device Letters, 21(1):24–26.

    Article  Google Scholar 

  13. C. Chiang, J. Kawa (2007) Design for manufacturability and yield for nano-Scale CMOS, Springer-Verlag.

    Google Scholar 

  14. H.-S. Deogun, D. Sylvester, R. Rao, K. Nowka (2005) “Adaptive MTCMOS for dynamic leakage and frequency control using variable footer strength,” IEEE International SOC Conference (SoCC’05), pp. 147–150.

    Google Scholar 

  15. D. Flynn, R. Aitken, A. Gibbons, K. Shi (2007) Low Power Methodology Manual, Springer-Verlag.

    Google Scholar 

  16. S. Henzler, G. Georgakos, M. Eireiner, T. Nirschl, C. Pacha, J. Berthold, D. Schmitt-Landsiedel (2006) “Dynamic state-retention flip-flop for fine-grained power gating with small design and power overhead,” IEEE Journal of Solid-State Circuits, 41(7):1654–1661.

    Article  Google Scholar 

  17. ITRS (2009) “Process integration, devices & structures,” in International Technology Roadmap for Semiconductors, ITRS.

    Google Scholar 

  18. T. Jhaveri, V. Rovner, L. Liebmann, L. Pileggi, A. Strojwas, J. Hibbeler (2010) “Co-optimization of circuits, layout and lithography for predictive technology scaling beyond gratings,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(4):509–527.

    Article  Google Scholar 

  19. W. Kai-Chiang, D. Marculescu (2009) “Joint logic restructuring and pin reordering against NBTI-induced performance degradation,” IEEE Design, Automation and Test in Europe (DATE’09), pp. 75–80.

    Google Scholar 

  20. J. Kao, S. Narendra, A. Chandrakasan (1998) “MTCMOS hierarchical sizing based on mutual exclusive discharge patterns,” ACM/IEEE Design Automation Conference (DAC’98), pp. 495–500.

    Google Scholar 

  21. V. Khandelwal, S. Srivastava (2007) “Leakage control through fine-grained placement and sizing of sleep transistors,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 26(7):1246–1255.

    Article  Google Scholar 

  22. S. Kumar, C. Kim, S. Sapatnekar (2006) “An analytical model for negative bias temperature instability,” IEEE/ACM International Conference on Computer-Aided Design (ICCAD’06), pp. 493–496.

    Google Scholar 

  23. S. Kumar, C. Kim, S. Sapatnekar (2007) “NBTI-aware synthesis of digital circuits,” ACM/IEEE Design Automation Conference (DAC’07), pp. 370–375.

    Google Scholar 

  24. S. Kumar, C. Kim, S. Sapatnekar (2009) “Adaptive techniques for overcoming performance degradation due to aging in digital circuits,” IEEE Asia and South Pacific Design Automation Conference (ASPDAC’09), pp. 284–289.

    Google Scholar 

  25. M. Lavin, F. L. Heng, G. Northrop (2004) “Backend CAD flows for restrictive design rules,” IEEE/ACM International Conference on Computer-Aided Design (ICCAD’04), pp. 739–746.

    Google Scholar 

  26. S. Mahapatra, D. Saha, D. Varghese, P. Kumar (2006) “On the generation and recovery of interface traps in mosfets subjected to NBTI, FN, and HCI stress,” IEEE Transactions on Electron Devices, 53(7):1583–1592.

    Article  Google Scholar 

  27. E. Pakbaznia, F. Fallah, M. Pedram (2008) “Charge recycling in power-gated CMOS circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(10):1798–1811.

    Article  Google Scholar 

  28. K. Roy, S. Mukhopadhyay, H. Mahmoodi-Meimand (2003) “Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits,” Proceedings of the IEEE, 91(2):305–327.

    Article  Google Scholar 

  29. A. Sathanur, A. Pullini, L. Benini, A. Macii, E. Macii, M. Poncino (2008) “Optimal sleep transistor synthesis under timing and area constraints,” ACM/IEEE Great Lakes symposium on VLSI (GLSVLSI’08), pp. 177–182.

    Google Scholar 

  30. A. Sathanur, L. Benini, A. Macii, E. Macii, M. Poncino (2011) “Fast computation of discharge current upper bounds for clustered power-gating,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(1):146–151.

    Article  Google Scholar 

  31. A. Sathanur, L. Benini, A. Macii, E. Macii, M. Poncino (2011) “Row-based power-gating: A novel sleep transistor insertion methodology for leakage power optimization in nanometer CMOS circuits,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19(3):469–482.

    Article  Google Scholar 

  32. L. D. Silva, A. Calimera, A. Macii, E. Macii, M. Poncino (2011) “Power efficient variability compensation through clustered tunable power-gating,” IEEE Journal of Emerging and Selected Topics in Circuits and Systems, 1(3):242–253.

    Article  Google Scholar 

  33. D. Sylvester, K. Agarwal, S. Shah (2008) “Variability in nanometer CMOS: Impact, analysis, and minimization,” Integration - The VLSI Journal, 41(3):319–339.

    Article  Google Scholar 

  34. J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chandrakasan, V. De (2002) “Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage,” IEEE Journal of Solid-State Circuits, 37(11):1396–1402.

    Article  Google Scholar 

  35. J. Tschanz, S. Narendra, R. Nair, V. De (2003) “Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors,” IEEE Journal of Solid-State Circuits, 38(5):826–829.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Enrico Macii .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2015 Springer Science+Business Media New York

About this chapter

Cite this chapter

Calimera, A., Macii, A., Macii, E., Poncino, M. (2015). Power-Gating for Leakage Control and Beyond. In: Reis, R., Cao, Y., Wirth, G. (eds) Circuit Design for Reliability. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-4078-9_9

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-4078-9_9

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-4077-2

  • Online ISBN: 978-1-4614-4078-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics